OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [synthesis/] [submodules/] [altera_reset_controller.sdc] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
# (C) 2001-2014 Altera Corporation. All rights reserved.
2
# Your use of Altera Corporation's design tools, logic functions and other
3
# software and tools, and its AMPP partner logic functions, and any output
4
# files any of the foregoing (including device programming or simulation
5
# files), and any associated documentation or information are expressly subject
6
# to the terms and conditions of the Altera Program License Subscription
7
# Agreement, Altera MegaCore Function License Agreement, or other applicable
8
# license agreement, including, without limitation, that your use is for the
9
# sole purpose of programming logic devices manufactured by Altera and sold by
10
# Altera or its authorized distributors.  Please refer to the applicable
11
# agreement for further details.
12
 
13
 
14
# +---------------------------------------------------
15
# | Cut the async clear paths
16
# +---------------------------------------------------
17
set aclr_counter 0
18
set clrn_counter 0
19
set aclr_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr]
20
set clrn_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn]
21
foreach_in_collection aclr_pin $aclr_collection {
22
    set aclr_counter [expr $aclr_counter + 1]
23
}
24
foreach_in_collection clrn_pin $clrn_collection {
25
    set clrn_counter [expr $clrn_counter + 1]
26
}
27
if {$aclr_counter > 0} {
28
    set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr]
29
}
30
 
31
if {$clrn_counter > 0} {
32
    set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn]
33
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.