OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [rtl/] [verilog/] [mega/] [ram_16x512.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
// megafunction wizard: %RAM: 1-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: ram_16x512.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 15.0.0 Build 145 04/22/2015 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, the Altera Quartus II License Agreement,
29
//the Altera MegaCore Function License Agreement, or other 
30
//applicable license agreement, including, without limitation, 
31
//that your use is for the sole purpose of programming logic 
32
//devices manufactured by Altera and sold by Altera or its 
33
//authorized distributors.  Please refer to the applicable 
34
//agreement for further details.
35
 
36
 
37
// synopsys translate_off
38
`timescale 1 ps / 1 ps
39
// synopsys translate_on
40
module ram_16x512 (
41
        address,
42
        byteena,
43
        clken,
44
        clock,
45
        data,
46
        wren,
47
        q);
48
 
49
        input   [8:0]  address;
50
        input   [1:0]  byteena;
51
        input     clken;
52
        input     clock;
53
        input   [15:0]  data;
54
        input     wren;
55
        output  [15:0]  q;
56
`ifndef ALTERA_RESERVED_QIS
57
// synopsys translate_off
58
`endif
59
        tri1    [1:0]  byteena;
60
        tri1      clken;
61
        tri1      clock;
62
`ifndef ALTERA_RESERVED_QIS
63
// synopsys translate_on
64
`endif
65
 
66
        wire [15:0] sub_wire0;
67
        wire [15:0] q = sub_wire0[15:0];
68
 
69
        altsyncram      altsyncram_component (
70
                                .address_a (address),
71
                                .byteena_a (byteena),
72
                                .clock0 (clock),
73
                                .clocken0 (clken),
74
                                .data_a (data),
75
                                .wren_a (wren),
76
                                .q_a (sub_wire0),
77
                                .aclr0 (1'b0),
78
                                .aclr1 (1'b0),
79
                                .address_b (1'b1),
80
                                .addressstall_a (1'b0),
81
                                .addressstall_b (1'b0),
82
                                .byteena_b (1'b1),
83
                                .clock1 (1'b1),
84
                                .clocken1 (1'b1),
85
                                .clocken2 (1'b1),
86
                                .clocken3 (1'b1),
87
                                .data_b (1'b1),
88
                                .eccstatus (),
89
                                .q_b (),
90
                                .rden_a (1'b1),
91
                                .rden_b (1'b1),
92
                                .wren_b (1'b0));
93
        defparam
94
                altsyncram_component.byte_size = 8,
95
                altsyncram_component.clock_enable_input_a = "NORMAL",
96
                altsyncram_component.clock_enable_output_a = "BYPASS",
97
                altsyncram_component.intended_device_family = "Cyclone V",
98
                altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
99
                altsyncram_component.lpm_type = "altsyncram",
100
                altsyncram_component.numwords_a = 512,
101
                altsyncram_component.operation_mode = "SINGLE_PORT",
102
                altsyncram_component.outdata_aclr_a = "NONE",
103
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
104
                altsyncram_component.power_up_uninitialized = "FALSE",
105
                altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
106
                altsyncram_component.widthad_a = 9,
107
                altsyncram_component.width_a = 16,
108
                altsyncram_component.width_byteena_a = 2;
109
 
110
 
111
endmodule
112
 
113
// ============================================================
114
// CNX file retrieval info
115
// ============================================================
116
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
117
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
118
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
119
// Retrieval info: PRIVATE: AclrData NUMERIC "0"
120
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
121
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1"
122
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
123
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
124
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
125
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
126
// Retrieval info: PRIVATE: Clken NUMERIC "1"
127
// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
128
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
129
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
130
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
131
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
132
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
133
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
134
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
135
// Retrieval info: PRIVATE: MIFfilename STRING ""
136
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "512"
137
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
138
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
139
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
140
// Retrieval info: PRIVATE: RegData NUMERIC "1"
141
// Retrieval info: PRIVATE: RegOutput NUMERIC "0"
142
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
143
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
144
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
145
// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
146
// Retrieval info: PRIVATE: WidthAddr NUMERIC "9"
147
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
148
// Retrieval info: PRIVATE: rden NUMERIC "0"
149
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
150
// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8"
151
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
152
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
153
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
154
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
155
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
156
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "512"
157
// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
158
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
159
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
160
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
161
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
162
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "9"
163
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
164
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "2"
165
// Retrieval info: USED_PORT: address 0 0 9 0 INPUT NODEFVAL "address[8..0]"
166
// Retrieval info: USED_PORT: byteena 0 0 2 0 INPUT VCC "byteena[1..0]"
167
// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC "clken"
168
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
169
// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
170
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
171
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
172
// Retrieval info: CONNECT: @address_a 0 0 9 0 address 0 0 9 0
173
// Retrieval info: CONNECT: @byteena_a 0 0 2 0 byteena 0 0 2 0
174
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
175
// Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
176
// Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
177
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
178
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
179
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512.v TRUE
180
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512.inc FALSE
181
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512.cmp FALSE
182
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512.bsf FALSE
183
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_inst.v FALSE
184
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_bb.v FALSE
185
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.