OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [rtl/] [verilog/] [mega/] [ram_16x512_dp.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
// megafunction wizard: %RAM: 2-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram
5
 
6
// ============================================================
7
// File Name: ram_16x512_dp.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 15.0.0 Build 145 04/22/2015 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
22
//Your use of Altera Corporation's design tools, logic functions
23
//and other software and tools, and its AMPP partner logic
24
//functions, and any output files from any of the foregoing
25
//(including device programming or simulation files), and any
26
//associated documentation or information are expressly subject
27
//to the terms and conditions of the Altera Program License
28
//Subscription Agreement, the Altera Quartus II License Agreement,
29
//the Altera MegaCore Function License Agreement, or other
30
//applicable license agreement, including, without limitation,
31
//that your use is for the sole purpose of programming logic
32
//devices manufactured by Altera and sold by Altera or its
33
//authorized distributors.  Please refer to the applicable
34
//agreement for further details.
35
 
36
 
37
// synopsys translate_off
38
`timescale 1 ps / 1 ps
39
// synopsys translate_on
40
module ram_16x512_dp (
41
        address_a,
42
        address_b,
43
        byteena_a,
44
        byteena_b,
45
        clock_a,
46
        clock_b,
47
        data_a,
48
        data_b,
49
        enable_a,
50
        enable_b,
51
        wren_a,
52
        wren_b,
53
        q_a,
54
        q_b);
55
 
56
        input   [8:0]  address_a;
57
        input   [8:0]  address_b;
58
        input   [1:0]  byteena_a;
59
        input   [1:0]  byteena_b;
60
        input     clock_a;
61
        input     clock_b;
62
        input   [15:0]  data_a;
63
        input   [15:0]  data_b;
64
        input     enable_a;
65
        input     enable_b;
66
        input     wren_a;
67
        input     wren_b;
68
        output  [15:0]  q_a;
69
        output  [15:0]  q_b;
70
`ifndef ALTERA_RESERVED_QIS
71
// synopsys translate_off
72
`endif
73
        tri1    [1:0]  byteena_a;
74
        tri1    [1:0]  byteena_b;
75
        tri1      clock_a;
76
        tri1      enable_a;
77
        tri1      enable_b;
78
        tri0      wren_a;
79
        tri0      wren_b;
80
`ifndef ALTERA_RESERVED_QIS
81
// synopsys translate_on
82
`endif
83
 
84
        wire [15:0] sub_wire0;
85
        wire [15:0] sub_wire1;
86
        wire [15:0] q_a = sub_wire0[15:0];
87
        wire [15:0] q_b = sub_wire1[15:0];
88
 
89
        altsyncram      altsyncram_component (
90
                                .address_a (address_a),
91
                                .address_b (address_b),
92
                                .byteena_a (byteena_a),
93
                                .byteena_b (byteena_b),
94
                                .clock0 (clock_a),
95
                                .clock1 (clock_b),
96
                                .clocken0 (enable_a),
97
                                .clocken1 (enable_b),
98
                                .data_a (data_a),
99
                                .data_b (data_b),
100
                                .wren_a (wren_a),
101
                                .wren_b (wren_b),
102
                                .q_a (sub_wire0),
103
                                .q_b (sub_wire1),
104
                                .aclr0 (1'b0),
105
                                .aclr1 (1'b0),
106
                                .addressstall_a (1'b0),
107
                                .addressstall_b (1'b0),
108
                                .clocken2 (1'b1),
109
                                .clocken3 (1'b1),
110
                                .eccstatus (),
111
                                .rden_a (1'b1),
112
                                .rden_b (1'b1));
113
        defparam
114
                altsyncram_component.address_reg_b = "CLOCK1",
115
                altsyncram_component.byteena_reg_b = "CLOCK1",
116
                altsyncram_component.byte_size = 8,
117
                altsyncram_component.clock_enable_input_a = "NORMAL",
118
                altsyncram_component.clock_enable_input_b = "NORMAL",
119
                altsyncram_component.clock_enable_output_a = "BYPASS",
120
                altsyncram_component.clock_enable_output_b = "BYPASS",
121
                altsyncram_component.indata_reg_b = "CLOCK1",
122
                altsyncram_component.intended_device_family = "Cyclone V",
123
                altsyncram_component.lpm_type = "altsyncram",
124
                altsyncram_component.numwords_a = 512,
125
                altsyncram_component.numwords_b = 512,
126
                altsyncram_component.operation_mode = "BIDIR_DUAL_PORT",
127
                altsyncram_component.outdata_aclr_a = "NONE",
128
                altsyncram_component.outdata_aclr_b = "NONE",
129
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
130
                altsyncram_component.outdata_reg_b = "UNREGISTERED",
131
                altsyncram_component.power_up_uninitialized = "FALSE",
132
                altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
133
                altsyncram_component.read_during_write_mode_port_b = "NEW_DATA_NO_NBE_READ",
134
                altsyncram_component.widthad_a = 9,
135
                altsyncram_component.widthad_b = 9,
136
                altsyncram_component.width_a = 16,
137
                altsyncram_component.width_b = 16,
138
                altsyncram_component.width_byteena_a = 2,
139
                altsyncram_component.width_byteena_b = 2,
140
                altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK1";
141
 
142
 
143
endmodule
144
 
145
// ============================================================
146
// CNX file retrieval info
147
// ============================================================
148
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
149
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
150
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
151
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
152
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "1"
153
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "1"
154
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
155
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
156
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
157
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "1"
158
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "1"
159
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "1"
160
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
161
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
162
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
163
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
164
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
165
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
166
// Retrieval info: PRIVATE: Clock NUMERIC "5"
167
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
168
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
169
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
170
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
171
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
172
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
173
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
174
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
175
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
176
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
177
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
178
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "20832"
179
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
180
// Retrieval info: PRIVATE: MIFfilename STRING ""
181
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
182
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
183
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
184
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
185
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
186
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
187
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
188
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
189
// Retrieval info: PRIVATE: REGq NUMERIC "0"
190
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
191
// Retrieval info: PRIVATE: REGrren NUMERIC "0"
192
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
193
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
194
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
195
// Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
196
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
197
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
198
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
199
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "16"
200
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
201
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16"
202
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
203
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
204
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
205
// Retrieval info: PRIVATE: enable NUMERIC "1"
206
// Retrieval info: PRIVATE: rden NUMERIC "0"
207
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
208
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
209
// Retrieval info: CONSTANT: BYTEENA_REG_B STRING "CLOCK1"
210
// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8"
211
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
212
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "NORMAL"
213
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
214
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
215
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1"
216
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
217
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
218
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "512"
219
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "512"
220
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
221
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
222
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
223
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
224
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
225
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
226
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
227
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
228
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "9"
229
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "9"
230
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
231
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "16"
232
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "2"
233
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "2"
234
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1"
235
// Retrieval info: USED_PORT: address_a 0 0 9 0 INPUT NODEFVAL "address_a[8..0]"
236
// Retrieval info: USED_PORT: address_b 0 0 9 0 INPUT NODEFVAL "address_b[8..0]"
237
// Retrieval info: USED_PORT: byteena_a 0 0 2 0 INPUT VCC "byteena_a[1..0]"
238
// Retrieval info: USED_PORT: byteena_b 0 0 2 0 INPUT VCC "byteena_b[1..0]"
239
// Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a"
240
// Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b"
241
// Retrieval info: USED_PORT: data_a 0 0 16 0 INPUT NODEFVAL "data_a[15..0]"
242
// Retrieval info: USED_PORT: data_b 0 0 16 0 INPUT NODEFVAL "data_b[15..0]"
243
// Retrieval info: USED_PORT: enable_a 0 0 0 0 INPUT VCC "enable_a"
244
// Retrieval info: USED_PORT: enable_b 0 0 0 0 INPUT VCC "enable_b"
245
// Retrieval info: USED_PORT: q_a 0 0 16 0 OUTPUT NODEFVAL "q_a[15..0]"
246
// Retrieval info: USED_PORT: q_b 0 0 16 0 OUTPUT NODEFVAL "q_b[15..0]"
247
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
248
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
249
// Retrieval info: CONNECT: @address_a 0 0 9 0 address_a 0 0 9 0
250
// Retrieval info: CONNECT: @address_b 0 0 9 0 address_b 0 0 9 0
251
// Retrieval info: CONNECT: @byteena_a 0 0 2 0 byteena_a 0 0 2 0
252
// Retrieval info: CONNECT: @byteena_b 0 0 2 0 byteena_b 0 0 2 0
253
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0
254
// Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0
255
// Retrieval info: CONNECT: @clocken0 0 0 0 0 enable_a 0 0 0 0
256
// Retrieval info: CONNECT: @clocken1 0 0 0 0 enable_b 0 0 0 0
257
// Retrieval info: CONNECT: @data_a 0 0 16 0 data_a 0 0 16 0
258
// Retrieval info: CONNECT: @data_b 0 0 16 0 data_b 0 0 16 0
259
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
260
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
261
// Retrieval info: CONNECT: q_a 0 0 16 0 @q_a 0 0 16 0
262
// Retrieval info: CONNECT: q_b 0 0 16 0 @q_b 0 0 16 0
263
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp.v TRUE
264
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp.inc FALSE
265
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp.cmp FALSE
266
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp.bsf FALSE
267
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp_inst.v FALSE
268
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_16x512_dp_bb.v FALSE
269
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.