OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [rtl/] [verilog/] [opengfx430/] [ogfx_backend_lut_fifo.v] - Blame information for rev 222

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2015 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25
// *File Name: ogfx_backend_lut_fifo.v
26
//
27
// *Module Description:
28
//                      Mini-cache memory for the LUT memory accesses.
29
//
30
// *Author(s):
31
//              - Olivier Girard,    olgirard@gmail.com
32
//
33
//----------------------------------------------------------------------------
34
// $Rev$
35
// $LastChangedBy$
36
// $LastChangedDate$
37
//----------------------------------------------------------------------------
38
`ifdef OGFX_NO_INCLUDE
39
`else
40
`include "openGFX430_defines.v"
41
`endif
42
 
43
module  ogfx_backend_lut_fifo (
44
 
45
// OUTPUTs
46
    frame_data_request_o,                        // Request for next frame data
47
 
48
    refresh_data_o,                              // Display Refresh data
49
    refresh_data_ready_o,                        // Display Refresh data ready
50
 
51
`ifdef WITH_PROGRAMMABLE_LUT
52
    lut_ram_addr_o,                              // LUT-RAM address
53
    lut_ram_cen_o,                               // LUT-RAM enable (active low)
54
`endif
55
 
56
// INPUTs
57
    mclk,                                        // Main system clock
58
    puc_rst,                                     // Main system reset
59
 
60
    frame_data_i,                                // Frame data
61
    frame_data_ready_i,                          // Frame data ready
62
 
63
    gfx_mode_i,                                  // Video mode (1xx:16bpp / 011:8bpp / 010:4bpp / 001:2bpp / 000:1bpp)
64
 
65
`ifdef WITH_PROGRAMMABLE_LUT
66
    lut_ram_dout_i,                              // LUT-RAM data output
67
    lut_ram_dout_rdy_nxt_i,                      // LUT-RAM data output ready during next cycle
68
`endif
69
 
70
    refresh_active_i,                            // Display refresh on going
71
    refresh_data_request_i,                      // Request for next refresh data
72 222 olivier.gi
 
73
    hw_lut_palette_sel_i,                        // Hardware LUT palette configuration
74
    hw_lut_bgcolor_i,                            // Hardware LUT background-color selection
75
    hw_lut_fgcolor_i,                            // Hardware LUT foreground-color selection
76
    sw_lut_enable_i,                             // Refresh LUT-RAM enable
77
    sw_lut_bank_select_i                         // Refresh LUT-RAM bank selection
78 221 olivier.gi
);
79
 
80
// OUTPUTs
81
//=========
82
output               frame_data_request_o;       // Request for next frame data
83
 
84
output        [15:0] refresh_data_o;             // Display Refresh data
85
output               refresh_data_ready_o;       // Display Refresh data ready
86
 
87
`ifdef WITH_PROGRAMMABLE_LUT
88
output [`LRAM_MSB:0] lut_ram_addr_o;             // LUT-RAM address
89
output               lut_ram_cen_o;              // LUT-RAM enable (active low)
90
`endif
91
 
92
// INPUTs
93
//=========
94
input                mclk;                       // Main system clock
95
input                puc_rst;                    // Main system reset
96
 
97
input         [15:0] frame_data_i;               // Frame data
98
input                frame_data_ready_i;         // Frame data ready
99
 
100
input          [2:0] gfx_mode_i;                 // Video mode (1xx:16bpp / 011:8bpp / 010:4bpp / 001:2bpp / 000:1bpp)
101
 
102
`ifdef WITH_PROGRAMMABLE_LUT
103
input         [15:0] lut_ram_dout_i;             // LUT-RAM data output
104
input                lut_ram_dout_rdy_nxt_i;     // LUT-RAM data output ready during next cycle
105
`endif
106
 
107
input                refresh_active_i;           // Display refresh on going
108
input                refresh_data_request_i;     // Request for next refresh data
109
 
110 222 olivier.gi
input          [2:0] hw_lut_palette_sel_i;       // Hardware LUT palette configuration
111
input          [3:0] hw_lut_bgcolor_i;           // Hardware LUT background-color selection
112
input          [3:0] hw_lut_fgcolor_i;           // Hardware LUT foreground-color selection
113
input                sw_lut_enable_i;            // Refresh LUT-RAM enable
114
input                sw_lut_bank_select_i;       // Refresh LUT-RAM bank selection
115 221 olivier.gi
 
116 222 olivier.gi
 
117 221 olivier.gi
//=============================================================================
118
// 1)  WIRE, REGISTERS AND PARAMETER DECLARATION
119
//=============================================================================
120
 
121
// State machine registers
122
reg    [1:0] lut_state;
123
reg    [1:0] lut_state_nxt;
124
 
125
// State definition
126
parameter    STATE_IDLE        =   0,
127
             STATE_FRAME_DATA  =   1,
128
             STATE_LUT_DATA    =   2,
129
             STATE_HOLD        =   3;
130
 
131
// Some parameter(s)
132
parameter    FIFO_EMPTY        =  3'h0,
133
             FIFO_FULL         =  3'h5;
134
 
135
// Video modes decoding
136
wire         gfx_mode_1_bpp    =  (gfx_mode_i == 3'b000);
137
wire         gfx_mode_2_bpp    =  (gfx_mode_i == 3'b001);
138
wire         gfx_mode_4_bpp    =  (gfx_mode_i == 3'b010);
139
wire         gfx_mode_8_bpp    =  (gfx_mode_i == 3'b011);
140
wire         gfx_mode_16_bpp   = ~(gfx_mode_8_bpp | gfx_mode_4_bpp |
141
                                   gfx_mode_2_bpp | gfx_mode_1_bpp);
142
 
143
// Others
144
reg    [2:0] fifo_counter;
145
wire   [2:0] fifo_counter_nxt;
146
 
147
 
148
//============================================================================
149
// 2) HARD CODED LOOKUP TABLE
150
//============================================================================
151
 
152 222 olivier.gi
// 16 full CGA color selection
153
parameter [3:0] CGA_BLACK         = 4'h0,
154
                CGA_BLUE          = 4'h1,
155
                CGA_GREEN         = 4'h2,
156
                CGA_CYAN          = 4'h3,
157
                CGA_RED           = 4'h4,
158
                CGA_MAGENTA       = 4'h5,
159
                CGA_BROWN         = 4'h6,
160
                CGA_LIGHT_GRAY    = 4'h7,
161
                CGA_GRAY          = 4'h8,
162
                CGA_LIGHT_BLUE    = 4'h9,
163
                CGA_LIGHT_GREEN   = 4'hA,
164
                CGA_LIGHT_CYAN    = 4'hB,
165
                CGA_LIGHT_RED     = 4'hC,
166
                CGA_LIGHT_MAGENTA = 4'hD,
167
                CGA_YELLOW        = 4'hE,
168
                CGA_WHITE         = 4'hF;
169 221 olivier.gi
 
170 222 olivier.gi
// Decode CGA 4 color mode (2bpp)
171
wire         cga_palette0_hi   = (hw_lut_palette_sel_i==3'h0);
172
wire         cga_palette0_lo   = (hw_lut_palette_sel_i==3'h1);
173
wire         cga_palette1_hi   = (hw_lut_palette_sel_i==3'h2);
174
wire         cga_palette1_lo   = (hw_lut_palette_sel_i==3'h3);
175
wire         cga_palette2_hi   = (hw_lut_palette_sel_i==3'h4);
176
wire         cga_palette2_lo   = (hw_lut_palette_sel_i==3'h5) | (hw_lut_palette_sel_i==3'h6) | (hw_lut_palette_sel_i==3'h7);
177 221 olivier.gi
 
178 222 olivier.gi
// LUT color decoding
179
                                 // 1 BPP
180
wire   [3:0] lut_hw_sel_1bpp   = ({4{gfx_mode_1_bpp                   & (frame_data_i[0]  ==1'b0 )}} & hw_lut_bgcolor_i ) |  // 1 bpp:  Black        (default bgcolor)
181
                                 ({4{gfx_mode_1_bpp                   & (frame_data_i[0]  ==1'b1 )}} & hw_lut_fgcolor_i ) ;  //         White        (default fgcolor)
182 221 olivier.gi
 
183 222 olivier.gi
                                 // 2 BPP (Palette #0, low-intensity)
184
wire   [3:0] lut_hw_sel_2bpp   = ({4{gfx_mode_2_bpp & cga_palette0_lo & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
185
                                 ({4{gfx_mode_2_bpp & cga_palette0_lo & (frame_data_i[1:0]==2'b01)}} & CGA_GREEN        ) |  //         Green
186
                                 ({4{gfx_mode_2_bpp & cga_palette0_lo & (frame_data_i[1:0]==2'b10)}} & CGA_RED          ) |  //         Red
187
                                 ({4{gfx_mode_2_bpp & cga_palette0_lo & (frame_data_i[1:0]==2'b11)}} & CGA_BROWN        ) |  //         Brown
188
 
189
                                 // 2 BPP (Palette #0, high-intensity)
190
                                 ({4{gfx_mode_2_bpp & cga_palette0_hi & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
191
                                 ({4{gfx_mode_2_bpp & cga_palette0_hi & (frame_data_i[1:0]==2'b01)}} & CGA_LIGHT_GREEN  ) |  //         Light-Green
192
                                 ({4{gfx_mode_2_bpp & cga_palette0_hi & (frame_data_i[1:0]==2'b10)}} & CGA_LIGHT_RED    ) |  //         Light-Red
193
                                 ({4{gfx_mode_2_bpp & cga_palette0_hi & (frame_data_i[1:0]==2'b11)}} & CGA_YELLOW       ) |  //         Yellow
194
 
195
                                 // 2 BPP (Palette #1, low-intensity)
196
                                 ({4{gfx_mode_2_bpp & cga_palette1_lo & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
197
                                 ({4{gfx_mode_2_bpp & cga_palette1_lo & (frame_data_i[1:0]==2'b01)}} & CGA_CYAN         ) |  //         Cyan
198
                                 ({4{gfx_mode_2_bpp & cga_palette1_lo & (frame_data_i[1:0]==2'b10)}} & CGA_MAGENTA      ) |  //         Magenta
199
                                 ({4{gfx_mode_2_bpp & cga_palette1_lo & (frame_data_i[1:0]==2'b11)}} & CGA_LIGHT_GRAY   ) |  //         Light-Gray
200
 
201
                                 // 2 BPP (Palette #1, high-intensity)
202
                                 ({4{gfx_mode_2_bpp & cga_palette1_hi & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
203
                                 ({4{gfx_mode_2_bpp & cga_palette1_hi & (frame_data_i[1:0]==2'b01)}} & CGA_LIGHT_CYAN   ) |  //         Light-Cyan
204
                                 ({4{gfx_mode_2_bpp & cga_palette1_hi & (frame_data_i[1:0]==2'b10)}} & CGA_LIGHT_MAGENTA) |  //         Light-Magenta
205
                                 ({4{gfx_mode_2_bpp & cga_palette1_hi & (frame_data_i[1:0]==2'b11)}} & CGA_WHITE        ) |  //         White
206
 
207
                                 // 2 BPP (Palette #2, low-intensity)
208
                                 ({4{gfx_mode_2_bpp & cga_palette2_lo & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
209
                                 ({4{gfx_mode_2_bpp & cga_palette2_lo & (frame_data_i[1:0]==2'b01)}} & CGA_CYAN         ) |  //         Cyan
210
                                 ({4{gfx_mode_2_bpp & cga_palette2_lo & (frame_data_i[1:0]==2'b10)}} & CGA_RED          ) |  //         Red
211
                                 ({4{gfx_mode_2_bpp & cga_palette2_lo & (frame_data_i[1:0]==2'b11)}} & CGA_LIGHT_GRAY   ) |  //         Light-Gray
212
 
213
                                 // 2 BPP (Palette #2, high-intensity)
214
                                 ({4{gfx_mode_2_bpp & cga_palette2_hi & (frame_data_i[1:0]==2'b00)}} & hw_lut_bgcolor_i ) |  // 2 bpp:  Black        (default bgcolor)
215
                                 ({4{gfx_mode_2_bpp & cga_palette2_hi & (frame_data_i[1:0]==2'b01)}} & CGA_LIGHT_CYAN   ) |  //         Light-Cyan
216
                                 ({4{gfx_mode_2_bpp & cga_palette2_hi & (frame_data_i[1:0]==2'b10)}} & CGA_LIGHT_RED    ) |  //         Light-Red
217
                                 ({4{gfx_mode_2_bpp & cga_palette2_hi & (frame_data_i[1:0]==2'b11)}} & CGA_WHITE        ) ;  //         White
218
 
219
                                 // 4 BPP (full CGA 16-color palette)
220
wire   [3:0] lut_hw_sel_4bpp   = ({4{gfx_mode_4_bpp}}                 &  frame_data_i[3:0]);
221
 
222
wire   [3:0] lut_hw_color_sel  =  lut_hw_sel_4bpp | lut_hw_sel_2bpp | lut_hw_sel_1bpp;
223
 
224
// Color encoding for 1-bit / 2-bit and 4-bit modes
225
reg   [15:0] lut_hw_data_1_2_4_bpp;
226
always @(lut_hw_color_sel)
227
  case(lut_hw_color_sel)
228
    CGA_BLACK         :  lut_hw_data_1_2_4_bpp  =  {5'b00000, 6'b000000, 5'b00000};     // Black
229
    CGA_BLUE          :  lut_hw_data_1_2_4_bpp  =  {5'b00000, 6'b000000, 5'b10101};     // Blue
230
    CGA_GREEN         :  lut_hw_data_1_2_4_bpp  =  {5'b00000, 6'b101011, 5'b00000};     // Green
231
    CGA_CYAN          :  lut_hw_data_1_2_4_bpp  =  {5'b00000, 6'b101011, 5'b10101};     // Cyan
232
    CGA_RED           :  lut_hw_data_1_2_4_bpp  =  {5'b10101, 6'b000000, 5'b00000};     // Red
233
    CGA_MAGENTA       :  lut_hw_data_1_2_4_bpp  =  {5'b10101, 6'b000000, 5'b10101};     // Magenta
234
    CGA_BROWN         :  lut_hw_data_1_2_4_bpp  =  {5'b10101, 6'b010101, 5'b00000};     // Brown
235
    CGA_LIGHT_GRAY    :  lut_hw_data_1_2_4_bpp  =  {5'b10101, 6'b101011, 5'b10101};     // Light Gray
236
    CGA_GRAY          :  lut_hw_data_1_2_4_bpp  =  {5'b01011, 6'b010101, 5'b01011};     // Gray
237
    CGA_LIGHT_BLUE    :  lut_hw_data_1_2_4_bpp  =  {5'b01011, 6'b010101, 5'b11111};     // Light Blue
238
    CGA_LIGHT_GREEN   :  lut_hw_data_1_2_4_bpp  =  {5'b01011, 6'b111111, 5'b01011};     // Light Green
239
    CGA_LIGHT_CYAN    :  lut_hw_data_1_2_4_bpp  =  {5'b01011, 6'b111111, 5'b11111};     // Light Cyan
240
    CGA_LIGHT_RED     :  lut_hw_data_1_2_4_bpp  =  {5'b11111, 6'b010101, 5'b01011};     // Light Red
241
    CGA_LIGHT_MAGENTA :  lut_hw_data_1_2_4_bpp  =  {5'b11111, 6'b010101, 5'b11111};     // Light Magenta
242
    CGA_YELLOW        :  lut_hw_data_1_2_4_bpp  =  {5'b11111, 6'b111111, 5'b01011};     // Yellow
243
    CGA_WHITE         :  lut_hw_data_1_2_4_bpp  =  {5'b11111, 6'b111111, 5'b11111};     // White
244
    // pragma coverage off
245
    default           :  lut_hw_data_1_2_4_bpp  =  16'h0000;
246
    // pragma coverage on
247
  endcase
248
 
249
// 8-bit truecolor RGB mapping (3-bit red / 3-bit green / 2-bit blue)
250 221 olivier.gi
wire  [15:0] lut_hw_data_8_bpp = {frame_data_i[7],frame_data_i[6],frame_data_i[5],frame_data_i[5],frame_data_i[5],                 // 8 bpp:  R = D<7,6,5,5,5>
251
                                  frame_data_i[4],frame_data_i[3],frame_data_i[2],frame_data_i[2],frame_data_i[2],frame_data_i[2], //         G = D<4,3,2,2,2,2>
252
                                  frame_data_i[1],frame_data_i[0],frame_data_i[0],frame_data_i[0],frame_data_i[0]};                //         B = D<1,0,0,0,0>
253
 
254 222 olivier.gi
wire  [15:0] lut_hw_data       = (lut_hw_data_1_2_4_bpp & {16{gfx_mode_1_bpp | gfx_mode_2_bpp | gfx_mode_4_bpp}}) |
255
                                 (lut_hw_data_8_bpp     & {16{gfx_mode_8_bpp}});
256 221 olivier.gi
 
257 222 olivier.gi
wire         lut_hw_enabled    = ~gfx_mode_16_bpp   & ~sw_lut_enable_i;
258
wire         lut_sw_enabled    = ~gfx_mode_16_bpp   &  sw_lut_enable_i;
259 221 olivier.gi
 
260
 
261
//============================================================================
262
// 3) STATE MACHINE
263
//============================================================================
264
 
265
//--------------------------------
266
// States Transitions
267
//--------------------------------
268
always @(lut_state or refresh_active_i or frame_data_ready_i     or
269
`ifdef WITH_PROGRAMMABLE_LUT
270
                      lut_sw_enabled   or lut_ram_dout_rdy_nxt_i or
271
`endif
272
                      fifo_counter_nxt)
273
    case(lut_state)
274
 
275
      STATE_IDLE           :  lut_state_nxt = ~refresh_active_i             ? STATE_IDLE       : STATE_FRAME_DATA ;
276
 
277
      STATE_FRAME_DATA     :  lut_state_nxt = ~refresh_active_i             ? STATE_IDLE       :
278
                                              ~frame_data_ready_i           ? STATE_FRAME_DATA :
279
`ifdef WITH_PROGRAMMABLE_LUT
280
                                               lut_sw_enabled               ? STATE_LUT_DATA   :
281
`endif
282
                                                                                                 STATE_HOLD       ;
283
 
284
`ifdef WITH_PROGRAMMABLE_LUT
285
      STATE_LUT_DATA       :  lut_state_nxt = ~refresh_active_i             ? STATE_IDLE       :
286
                                               lut_ram_dout_rdy_nxt_i       ? STATE_HOLD       : STATE_LUT_DATA   ;
287
`endif
288
 
289
      STATE_HOLD           :  lut_state_nxt = ~refresh_active_i             ? STATE_IDLE       :
290
                                              (fifo_counter_nxt!=FIFO_FULL) ? STATE_FRAME_DATA : STATE_HOLD       ;
291
 
292
    // pragma coverage off
293
      default              :  lut_state_nxt =  STATE_IDLE;
294
    // pragma coverage on
295
    endcase
296
 
297
//--------------------------------
298
// State machine
299
//--------------------------------
300
always @(posedge mclk or posedge puc_rst)
301
  if (puc_rst) lut_state  <= STATE_IDLE;
302
  else         lut_state  <= lut_state_nxt;
303
 
304
 
305
// Request for the next frame data
306
assign frame_data_request_o = (lut_state == STATE_FRAME_DATA);
307
 
308
 
309
//============================================================================
310
// 4) LUT MEMORY INTERFACE
311
//============================================================================
312
 
313
//--------------------------------
314
// Enable
315
//--------------------------------
316
`ifdef WITH_PROGRAMMABLE_LUT
317
   assign lut_ram_cen_o  = ~(lut_state == STATE_LUT_DATA);
318
`endif
319
 
320
//--------------------------------
321
// Address
322
//--------------------------------
323
// Mask with chip enable to save power
324
 
325
`ifdef WITH_PROGRAMMABLE_LUT
326
  `ifdef WITH_EXTRA_LUT_BANK
327
    // Allow LUT bank switching only when the refresh is not on going
328
    reg refresh_lut_bank_select_sync;
329
    always @(posedge mclk or posedge puc_rst)
330
      if (puc_rst)                refresh_lut_bank_select_sync  <=  1'b0;
331 222 olivier.gi
      else if (~refresh_active_i) refresh_lut_bank_select_sync  <=  sw_lut_bank_select_i;
332 221 olivier.gi
 
333
    assign lut_ram_addr_o = {refresh_lut_bank_select_sync, frame_data_i[7:0]} & {9{~lut_ram_cen_o}};
334
  `else
335
    assign lut_ram_addr_o = frame_data_i[7:0] & {8{~lut_ram_cen_o}};
336
  `endif
337
`endif
338
 
339
//--------------------------------
340
// Data Ready
341
//--------------------------------
342
// When filling the FIFO, the data is available on the bus
343
// one cycle after the rdy_nxt signal
344
reg            lut_ram_dout_ready;
345
always @(posedge mclk or posedge puc_rst)
346
  if (puc_rst) lut_ram_dout_ready  <=  1'b0;
347
`ifdef WITH_PROGRAMMABLE_LUT
348
  else         lut_ram_dout_ready  <=  lut_sw_enabled ? lut_ram_dout_rdy_nxt_i :
349
                                                        (frame_data_ready_i & (lut_state == STATE_FRAME_DATA));
350
`else
351
  else         lut_ram_dout_ready  <=  (frame_data_ready_i & (lut_state == STATE_FRAME_DATA));
352
`endif
353
 
354
 
355
//============================================================================
356
// 5) FIFO COUNTER
357
//============================================================================
358
 
359
// Control signals
360
wire      fifo_push =  lut_ram_dout_ready     & (fifo_counter != FIFO_FULL);
361
wire      fifo_pop  =  refresh_data_request_i & (fifo_counter != FIFO_EMPTY);
362
 
363
// Fifo counter
364
assign fifo_counter_nxt = ~refresh_active_i      ?  FIFO_EMPTY          : // Initialize
365
                          (fifo_push & fifo_pop) ?  fifo_counter        : // Keep value (pop & push at the same time)
366
                           fifo_push             ?  fifo_counter + 3'h1 : // Push
367
                           fifo_pop              ?  fifo_counter - 3'h1 : // Pop
368
                                                    fifo_counter;         // Hold
369
 
370
always @(posedge mclk or posedge puc_rst)
371
  if (puc_rst) fifo_counter <= FIFO_EMPTY;
372
  else         fifo_counter <= fifo_counter_nxt;
373
 
374
 
375
//============================================================================
376
// 6) FIFO MEMORY & RD/WR POINTERS
377
//============================================================================
378
 
379
// Write pointer
380
reg [2:0] wr_ptr;
381
always @(posedge mclk or posedge puc_rst)
382
  if (puc_rst)                    wr_ptr  <=  3'h0;
383
  else if (~refresh_active_i)     wr_ptr  <=  3'h0;
384
  else if (fifo_push)
385
    begin
386
       if (wr_ptr==(FIFO_FULL-1)) wr_ptr  <=  3'h0;
387
       else                       wr_ptr  <=  wr_ptr + 3'h1;
388
    end
389
 
390
// Memory
391
reg [15:0] fifo_mem [0:4];
392
always @(posedge mclk or posedge puc_rst)
393
  if (puc_rst)
394
    begin
395
       fifo_mem[0]      <=  16'h0000;
396
       fifo_mem[1]      <=  16'h0000;
397
       fifo_mem[2]      <=  16'h0000;
398
       fifo_mem[3]      <=  16'h0000;
399
       fifo_mem[4]      <=  16'h0000;
400
    end
401
  else if (fifo_push)
402
    begin
403
       fifo_mem[wr_ptr] <=  lut_hw_enabled ? lut_hw_data    :
404
`ifdef WITH_PROGRAMMABLE_LUT
405
                            lut_sw_enabled ? lut_ram_dout_i :
406
`endif
407
                                             frame_data_i;
408
    end
409
 
410
// Read pointer
411
reg [2:0] rd_ptr;
412
always @(posedge mclk or posedge puc_rst)
413
  if (puc_rst)                    rd_ptr  <=  3'h0;
414
  else if (~refresh_active_i)     rd_ptr  <=  3'h0;
415
  else if (fifo_pop)
416
    begin
417
       if (rd_ptr==(FIFO_FULL-1)) rd_ptr  <=  3'h0;
418
       else                       rd_ptr  <=  rd_ptr + 3'h1;
419
    end
420
 
421
//============================================================================
422
// 7) REFRESH_DATA
423
//============================================================================
424
 
425
// Refresh Data is ready
426
reg        refresh_data_ready_o;
427
always @(posedge mclk or posedge puc_rst)
428
  if (puc_rst)                refresh_data_ready_o <=  1'h0;
429
  else if (~refresh_active_i) refresh_data_ready_o <=  1'h0;
430
  else                        refresh_data_ready_o <=  fifo_pop;
431
 
432
// Refresh Data
433
reg [15:0] refresh_data_o;
434
always @(posedge mclk or posedge puc_rst)
435
  if (puc_rst)                refresh_data_o <= 16'h0000;
436
  else if (fifo_pop)          refresh_data_o <= fifo_mem[rd_ptr];
437
 
438
 
439
endmodule // ogfx_backend_lut_fifo
440
 
441
`ifdef OGFX_NO_INCLUDE
442
`else
443
`include "openGFX430_undefines.v"
444
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.