OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_diligent_s3board/] [rtl/] [verilog/] [openmsp430/] [openMSP430.v] - Blame information for rev 105

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25
// *File Name: openMSP430.v
26
// 
27
// *Module Description:
28
//                       openMSP430 Top level file
29
//
30
// *Author(s):
31
//              - Olivier Girard,    olgirard@gmail.com
32
//
33
//----------------------------------------------------------------------------
34 17 olivier.gi
// $Rev: 105 $
35
// $LastChangedBy: olivier.girard $
36
// $LastChangedDate: 2011-03-10 22:10:30 +0100 (Thu, 10 Mar 2011) $
37
//----------------------------------------------------------------------------
38 104 olivier.gi
`ifdef OMSP_NO_INCLUDE
39
`else
40 23 olivier.gi
`include "openMSP430_defines.v"
41 104 olivier.gi
`endif
42 2 olivier.gi
 
43
module  openMSP430 (
44
 
45
// OUTPUTs
46 33 olivier.gi
    aclk_en,                       // ACLK enable
47
    dbg_freeze,                    // Freeze peripherals
48
    dbg_uart_txd,                  // Debug interface: UART TXD
49
    dmem_addr,                     // Data Memory address
50
    dmem_cen,                      // Data Memory chip enable (low active)
51
    dmem_din,                      // Data Memory data input
52
    dmem_wen,                      // Data Memory write enable (low active)
53
    irq_acc,                       // Interrupt request accepted (one-hot signal)
54
    mclk,                          // Main system clock
55
    per_addr,                      // Peripheral address
56
    per_din,                       // Peripheral data input
57
    per_wen,                       // Peripheral write enable (high active)
58
    per_en,                        // Peripheral enable (high active)
59
    pmem_addr,                     // Program Memory address
60
    pmem_cen,                      // Program Memory chip enable (low active)
61
    pmem_din,                      // Program Memory data input (optional)
62
    pmem_wen,                      // Program Memory write enable (low active) (optional)
63
    puc,                           // Main system reset
64
    smclk_en,                      // SMCLK enable
65 2 olivier.gi
 
66
// INPUTs
67 33 olivier.gi
    dbg_uart_rxd,                  // Debug interface: UART RXD
68
    dco_clk,                       // Fast oscillator (fast clock)
69
    dmem_dout,                     // Data Memory data output
70
    irq,                           // Maskable interrupts
71
    lfxt_clk,                      // Low frequency oscillator (typ 32kHz)
72
    nmi,                           // Non-maskable interrupt (asynchronous)
73
    per_dout,                      // Peripheral data output
74
    pmem_dout,                     // Program Memory data output
75
    reset_n                        // Reset Pin (low active)
76 2 olivier.gi
);
77
 
78
// OUTPUTs
79
//=========
80 33 olivier.gi
output               aclk_en;      // ACLK enable
81
output               dbg_freeze;   // Freeze peripherals
82
output               dbg_uart_txd; // Debug interface: UART TXD
83
output [`DMEM_MSB:0] dmem_addr;    // Data Memory address
84
output               dmem_cen;     // Data Memory chip enable (low active)
85
output        [15:0] dmem_din;     // Data Memory data input
86
output         [1:0] dmem_wen;     // Data Memory write enable (low active)
87
output        [13:0] irq_acc;      // Interrupt request accepted (one-hot signal)
88
output               mclk;         // Main system clock
89
output         [7:0] per_addr;     // Peripheral address
90
output        [15:0] per_din;      // Peripheral data input
91
output         [1:0] per_wen;      // Peripheral write enable (high active)
92
output               per_en;       // Peripheral enable (high active)
93
output [`PMEM_MSB:0] pmem_addr;    // Program Memory address
94
output               pmem_cen;     // Program Memory chip enable (low active)
95
output        [15:0] pmem_din;     // Program Memory data input (optional)
96
output         [1:0] pmem_wen;     // Program Memory write enable (low active) (optional)
97
output               puc;          // Main system reset
98
output               smclk_en;     // SMCLK enable
99 2 olivier.gi
 
100
 
101
// INPUTs
102
//=========
103 33 olivier.gi
input                dbg_uart_rxd; // Debug interface: UART RXD
104
input                dco_clk;      // Fast oscillator (fast clock)
105
input         [15:0] dmem_dout;    // Data Memory data output
106
input         [13:0] irq;          // Maskable interrupts
107
input                lfxt_clk;     // Low frequency oscillator (typ 32kHz)
108
input                nmi;          // Non-maskable interrupt (asynchronous)
109
input         [15:0] per_dout;     // Peripheral data output
110
input         [15:0] pmem_dout;    // Program Memory data output
111
input                reset_n;      // Reset Pin (active low)
112 2 olivier.gi
 
113
 
114
 
115
//=============================================================================
116
// 1)  INTERNAL WIRES/REGISTERS/PARAMETERS DECLARATION
117
//=============================================================================
118
 
119
wire          [7:0] inst_ad;
120
wire          [7:0] inst_as;
121
wire         [11:0] inst_alu;
122
wire                inst_bw;
123
wire         [15:0] inst_dest;
124
wire         [15:0] inst_dext;
125
wire         [15:0] inst_sext;
126
wire          [7:0] inst_so;
127
wire         [15:0] inst_src;
128
wire          [2:0] inst_type;
129
wire          [3:0] e_state;
130
wire                exec_done;
131
 
132
wire         [15:0] eu_mab;
133
wire         [15:0] eu_mdb_in;
134
wire         [15:0] eu_mdb_out;
135
wire          [1:0] eu_mb_wr;
136
wire         [15:0] fe_mab;
137
wire         [15:0] fe_mdb_in;
138
 
139
wire         [15:0] pc_sw;
140
wire          [7:0] inst_jmp;
141
wire         [15:0] pc;
142
wire         [15:0] pc_nxt;
143
 
144 86 olivier.gi
wire                dbg_halt_cmd;
145
wire                dbg_mem_en;
146
wire                dbg_reg_wr;
147
wire                dbg_reset;
148 2 olivier.gi
wire         [15:0] dbg_mem_addr;
149
wire         [15:0] dbg_mem_dout;
150
wire         [15:0] dbg_mem_din;
151
wire         [15:0] dbg_reg_din;
152
wire          [1:0] dbg_mem_wr;
153
 
154
wire         [15:0] per_dout_or;
155
wire         [15:0] per_dout_sfr;
156
wire         [15:0] per_dout_wdog;
157 71 olivier.gi
wire         [15:0] per_dout_mpy;
158 2 olivier.gi
wire         [15:0] per_dout_clk;
159
 
160
 
161
//=============================================================================
162
// 2)  GLOBAL CLOCK & RESET MANAGEMENT
163
//=============================================================================
164
 
165 34 olivier.gi
omsp_clock_module clock_module_0 (
166 2 olivier.gi
 
167
// OUTPUTs
168
    .aclk_en      (aclk_en),       // ACLK enablex
169
    .mclk         (mclk),          // Main system clock
170
    .per_dout     (per_dout_clk),  // Peripheral data output
171
    .por          (por),           // Power-on reset
172
    .puc          (puc),           // Main system reset
173
    .smclk_en     (smclk_en),      // SMCLK enable
174
 
175
// INPUTs
176
    .dbg_reset    (dbg_reset),     // Reset CPU from debug interface
177
    .dco_clk      (dco_clk),       // Fast oscillator (fast clock)
178
    .lfxt_clk     (lfxt_clk),      // Low frequency oscillator (typ 32kHz)
179
    .oscoff       (oscoff),        // Turns off LFXT1 clock input
180
    .per_addr     (per_addr),      // Peripheral address
181
    .per_din      (per_din),       // Peripheral data input
182
    .per_en       (per_en),        // Peripheral enable (high active)
183
    .per_wen      (per_wen),       // Peripheral write enable (high active)
184
    .reset_n      (reset_n),       // Reset Pin (low active)
185
    .scg1         (scg1),          // System clock generator 1. Turns off the SMCLK
186
    .wdt_reset    (wdt_reset)      // Watchdog-timer reset
187
);
188
 
189
 
190
//=============================================================================
191
// 3)  FRONTEND (<=> FETCH & DECODE)
192
//=============================================================================
193
 
194 34 olivier.gi
omsp_frontend frontend_0 (
195 2 olivier.gi
 
196
// OUTPUTs
197
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
198 54 olivier.gi
    .decode_noirq (decode_noirq),  // Frontend decode instruction
199 2 olivier.gi
    .e_state      (e_state),       // Execution state
200
    .exec_done    (exec_done),     // Execution completed
201
    .inst_ad      (inst_ad),       // Decoded Inst: destination addressing mode
202
    .inst_as      (inst_as),       // Decoded Inst: source addressing mode
203
    .inst_alu     (inst_alu),      // ALU control signals
204
    .inst_bw      (inst_bw),       // Decoded Inst: byte width
205
    .inst_dest    (inst_dest),     // Decoded Inst: destination (one hot)
206
    .inst_dext    (inst_dext),     // Decoded Inst: destination extended instruction word
207
    .inst_irq_rst (inst_irq_rst),  // Decoded Inst: Reset interrupt
208
    .inst_jmp     (inst_jmp),      // Decoded Inst: Conditional jump
209 105 olivier.gi
    .inst_mov     (inst_mov),      // Decoded Inst: mov instruction
210 2 olivier.gi
    .inst_sext    (inst_sext),     // Decoded Inst: source extended instruction word
211
    .inst_so      (inst_so),       // Decoded Inst: Single-operand arithmetic
212
    .inst_src     (inst_src),      // Decoded Inst: source (one hot)
213
    .inst_type    (inst_type),     // Decoded Instruction type
214
    .irq_acc      (irq_acc),       // Interrupt request accepted
215
    .mab          (fe_mab),        // Frontend Memory address bus
216
    .mb_en        (fe_mb_en),      // Frontend Memory bus enable
217
    .nmi_acc      (nmi_acc),       // Non-Maskable interrupt request accepted
218
    .pc           (pc),            // Program counter
219
    .pc_nxt       (pc_nxt),        // Next PC value (for CALL & IRQ)
220
 
221
// INPUTs
222
    .cpuoff       (cpuoff),        // Turns off the CPU
223
    .dbg_halt_cmd (dbg_halt_cmd),  // Halt CPU command
224
    .dbg_reg_sel  (dbg_mem_addr[3:0]), // Debug selected register for rd/wr access
225 33 olivier.gi
    .fe_pmem_wait (fe_pmem_wait),  // Frontend wait for Instruction fetch
226 2 olivier.gi
    .gie          (gie),           // General interrupt enable
227
    .irq          (irq),           // Maskable interrupts
228
    .mclk         (mclk),          // Main system clock
229
    .mdb_in       (fe_mdb_in),     // Frontend Memory data bus input
230
    .nmi_evt      (nmi_evt),       // Non-maskable interrupt event
231
    .pc_sw        (pc_sw),         // Program counter software value
232
    .pc_sw_wr     (pc_sw_wr),      // Program counter software write
233
    .puc          (puc),           // Main system reset
234
    .wdt_irq      (wdt_irq)        // Watchdog-timer interrupt
235
);
236
 
237
 
238
//=============================================================================
239
// 4)  EXECUTION UNIT
240
//=============================================================================
241
 
242 34 olivier.gi
omsp_execution_unit execution_unit_0 (
243 2 olivier.gi
 
244
// OUTPUTs
245
    .cpuoff       (cpuoff),        // Turns off the CPU
246
    .dbg_reg_din  (dbg_reg_din),   // Debug unit CPU register data input
247
    .mab          (eu_mab),        // Memory address bus
248
    .mb_en        (eu_mb_en),      // Memory bus enable
249
    .mb_wr        (eu_mb_wr),      // Memory bus write transfer
250
    .mdb_out      (eu_mdb_out),    // Memory data bus output
251
    .oscoff       (oscoff),        // Turns off LFXT1 clock input
252
    .pc_sw        (pc_sw),         // Program counter software value
253
    .pc_sw_wr     (pc_sw_wr),      // Program counter software write
254
    .scg1         (scg1),          // System clock generator 1. Turns off the SMCLK
255
 
256
// INPUTs
257
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
258
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
259
    .dbg_reg_wr   (dbg_reg_wr),    // Debug unit CPU register write
260
    .e_state      (e_state),       // Execution state
261
    .exec_done    (exec_done),     // Execution completed
262
    .gie          (gie),           // General interrupt enable
263
    .inst_ad      (inst_ad),       // Decoded Inst: destination addressing mode
264
    .inst_as      (inst_as),       // Decoded Inst: source addressing mode
265
    .inst_alu     (inst_alu),      // ALU control signals
266
    .inst_bw      (inst_bw),       // Decoded Inst: byte width
267
    .inst_dest    (inst_dest),     // Decoded Inst: destination (one hot)
268
    .inst_dext    (inst_dext),     // Decoded Inst: destination extended instruction word
269
    .inst_irq_rst (inst_irq_rst),  // Decoded Inst: reset interrupt
270
    .inst_jmp     (inst_jmp),      // Decoded Inst: Conditional jump
271 105 olivier.gi
    .inst_mov     (inst_mov),      // Decoded Inst: mov instruction
272 2 olivier.gi
    .inst_sext    (inst_sext),     // Decoded Inst: source extended instruction word
273
    .inst_so      (inst_so),       // Decoded Inst: Single-operand arithmetic
274
    .inst_src     (inst_src),      // Decoded Inst: source (one hot)
275
    .inst_type    (inst_type),     // Decoded Instruction type
276
    .mclk         (mclk),          // Main system clock
277
    .mdb_in       (eu_mdb_in),     // Memory data bus input
278
    .pc           (pc),            // Program counter
279
    .pc_nxt       (pc_nxt),        // Next PC value (for CALL & IRQ)
280
    .puc          (puc)            // Main system reset
281
);
282
 
283
 
284
//=============================================================================
285
// 5)  MEMORY BACKBONE
286
//=============================================================================
287
 
288 34 olivier.gi
omsp_mem_backbone mem_backbone_0 (
289 2 olivier.gi
 
290
// OUTPUTs
291
    .dbg_mem_din  (dbg_mem_din),   // Debug unit Memory data input
292 33 olivier.gi
    .dmem_addr    (dmem_addr),     // Data Memory address
293
    .dmem_cen     (dmem_cen),      // Data Memory chip enable (low active)
294
    .dmem_din     (dmem_din),      // Data Memory data input
295
    .dmem_wen     (dmem_wen),      // Data Memory write enable (low active)
296 2 olivier.gi
    .eu_mdb_in    (eu_mdb_in),     // Execution Unit Memory data bus input
297
    .fe_mdb_in    (fe_mdb_in),     // Frontend Memory data bus input
298 33 olivier.gi
    .fe_pmem_wait (fe_pmem_wait),  // Frontend wait for Instruction fetch
299 2 olivier.gi
    .per_addr     (per_addr),      // Peripheral address
300
    .per_din      (per_din),       // Peripheral data input
301
    .per_wen      (per_wen),       // Peripheral write enable (high active)
302
    .per_en       (per_en),        // Peripheral enable (high active)
303 33 olivier.gi
    .pmem_addr    (pmem_addr),     // Program Memory address
304
    .pmem_cen     (pmem_cen),      // Program Memory chip enable (low active)
305
    .pmem_din     (pmem_din),      // Program Memory data input (optional)
306
    .pmem_wen     (pmem_wen),      // Program Memory write enable (low active) (optional)
307 2 olivier.gi
 
308
// INPUTs
309
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
310
    .dbg_mem_addr (dbg_mem_addr),  // Debug address for rd/wr access
311
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
312
    .dbg_mem_en   (dbg_mem_en),    // Debug unit memory enable
313
    .dbg_mem_wr   (dbg_mem_wr),    // Debug unit memory write
314 33 olivier.gi
    .dmem_dout    (dmem_dout),     // Data Memory data output
315 2 olivier.gi
    .eu_mab       (eu_mab[15:1]),  // Execution Unit Memory address bus
316
    .eu_mb_en     (eu_mb_en),      // Execution Unit Memory bus enable
317
    .eu_mb_wr     (eu_mb_wr),      // Execution Unit Memory bus write transfer
318
    .eu_mdb_out   (eu_mdb_out),    // Execution Unit Memory data bus output
319
    .fe_mab       (fe_mab[15:1]),  // Frontend Memory address bus
320
    .fe_mb_en     (fe_mb_en),      // Frontend Memory bus enable
321
    .mclk         (mclk),          // Main system clock
322
    .per_dout     (per_dout_or),   // Peripheral data output
323 33 olivier.gi
    .pmem_dout    (pmem_dout),     // Program Memory data output
324
    .puc          (puc)            // Main system reset
325 2 olivier.gi
);
326
 
327
 
328
//=============================================================================
329
// 6)  SPECIAL FUNCTION REGISTERS
330
//=============================================================================
331
 
332 34 olivier.gi
omsp_sfr sfr_0 (
333 2 olivier.gi
 
334
// OUTPUTs
335
    .nmie         (nmie),          // Non-maskable interrupt enable
336
    .per_dout     (per_dout_sfr),  // Peripheral data output
337
    .wdt_irq      (wdt_irq),       // Watchdog-timer interrupt
338
    .wdt_reset    (wdt_reset),     // Watchdog-timer reset
339
    .wdtie        (wdtie),         // Watchdog-timer interrupt enable
340
 
341
// INPUTs
342
    .mclk         (mclk),          // Main system clock
343
    .nmi_acc      (nmi_acc),       // Non-Maskable interrupt request accepted
344
    .per_addr     (per_addr),      // Peripheral address
345
    .per_din      (per_din),       // Peripheral data input
346
    .per_en       (per_en),        // Peripheral enable (high active)
347
    .per_wen      (per_wen),       // Peripheral write enable (high active)
348
    .por          (por),           // Power-on reset
349
    .puc          (puc),           // Main system reset
350
    .wdtifg_clr   (irq_acc[10]),   // Clear Watchdog-timer interrupt flag
351
    .wdtifg_set   (wdtifg_set),    // Set Watchdog-timer interrupt flag
352
    .wdtpw_error  (wdtpw_error),   // Watchdog-timer password error
353
    .wdttmsel     (wdttmsel)       // Watchdog-timer mode select
354
);
355
 
356
 
357
//=============================================================================
358
// 7)  WATCHDOG TIMER
359
//=============================================================================
360
 
361 34 olivier.gi
omsp_watchdog watchdog_0 (
362 2 olivier.gi
 
363
// OUTPUTs
364
    .nmi_evt      (nmi_evt),       // NMI Event
365
    .per_dout     (per_dout_wdog), // Peripheral data output
366
    .wdtifg_set   (wdtifg_set),    // Set Watchdog-timer interrupt flag
367
    .wdtpw_error  (wdtpw_error),   // Watchdog-timer password error
368
    .wdttmsel     (wdttmsel),      // Watchdog-timer mode select
369
 
370
// INPUTs
371
    .aclk_en      (aclk_en),       // ACLK enable
372
    .dbg_freeze   (dbg_freeze),    // Freeze Watchdog counter
373
    .mclk         (mclk),          // Main system clock
374
    .nmi          (nmi),           // Non-maskable interrupt (asynchronous)
375
    .nmie         (nmie),          // Non-maskable interrupt enable
376
    .per_addr     (per_addr),      // Peripheral address
377
    .per_din      (per_din),       // Peripheral data input
378
    .per_en       (per_en),        // Peripheral enable (high active)
379
    .per_wen      (per_wen),       // Peripheral write enable (high active)
380
    .puc          (puc),           // Main system reset
381
    .smclk_en     (smclk_en),      // SMCLK enable
382
    .wdtie        (wdtie)          // Watchdog-timer interrupt enable
383
);
384
 
385
 
386
//=============================================================================
387 71 olivier.gi
// 8)  HARDWARE MULTIPLIER
388 2 olivier.gi
//=============================================================================
389 71 olivier.gi
`ifdef MULTIPLIER
390
omsp_multiplier multiplier_0 (
391 2 olivier.gi
 
392 71 olivier.gi
// OUTPUTs
393
    .per_dout     (per_dout_mpy),  // Peripheral data output
394
 
395
// INPUTs
396
    .mclk         (mclk),          // Main system clock
397
    .per_addr     (per_addr),      // Peripheral address
398
    .per_din      (per_din),       // Peripheral data input
399
    .per_en       (per_en),        // Peripheral enable (high active)
400
    .per_wen      (per_wen),       // Peripheral write enable (high active)
401
    .puc          (puc)            // Main system reset
402
);
403
`else
404
assign per_dout_mpy = 16'h0000;
405
`endif
406
 
407
//=============================================================================
408
// 9)  PERIPHERALS' OUTPUT BUS
409
//=============================================================================
410
 
411 2 olivier.gi
assign  per_dout_or  =  per_dout      |
412
                        per_dout_clk  |
413
                        per_dout_sfr  |
414 71 olivier.gi
                        per_dout_wdog |
415
                        per_dout_mpy;
416 2 olivier.gi
 
417
 
418
//=============================================================================
419 71 olivier.gi
// 10)  DEBUG INTERFACE
420 2 olivier.gi
//=============================================================================
421
 
422
`ifdef DBG_EN
423 34 olivier.gi
omsp_dbg dbg_0 (
424 2 olivier.gi
 
425
// OUTPUTs
426
    .dbg_freeze   (dbg_freeze),    // Freeze peripherals
427
    .dbg_halt_cmd (dbg_halt_cmd),  // Halt CPU command
428
    .dbg_mem_addr (dbg_mem_addr),  // Debug address for rd/wr access
429
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
430
    .dbg_mem_en   (dbg_mem_en),    // Debug unit memory enable
431
    .dbg_mem_wr   (dbg_mem_wr),    // Debug unit memory write
432
    .dbg_reg_wr   (dbg_reg_wr),    // Debug unit CPU register write
433
    .dbg_reset    (dbg_reset),     // Reset CPU from debug interface
434
    .dbg_uart_txd (dbg_uart_txd),  // Debug interface: UART TXD
435
 
436
// INPUTs
437
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
438
    .dbg_mem_din  (dbg_mem_din),   // Debug unit Memory data input
439
    .dbg_reg_din  (dbg_reg_din),   // Debug unit CPU register data input
440
    .dbg_uart_rxd (dbg_uart_rxd),  // Debug interface: UART RXD
441 54 olivier.gi
    .decode_noirq (decode_noirq),  // Frontend decode instruction
442 2 olivier.gi
    .eu_mab       (eu_mab),        // Execution-Unit Memory address bus
443
    .eu_mb_en     (eu_mb_en),      // Execution-Unit Memory bus enable
444
    .eu_mb_wr     (eu_mb_wr),      // Execution-Unit Memory bus write transfer
445
    .eu_mdb_in    (eu_mdb_in),     // Memory data bus input
446
    .eu_mdb_out   (eu_mdb_out),    // Memory data bus output
447
    .exec_done    (exec_done),     // Execution completed
448
    .fe_mb_en     (fe_mb_en),      // Frontend Memory bus enable
449
    .fe_mdb_in    (fe_mdb_in),     // Frontend Memory data bus input
450
    .mclk         (mclk),          // Main system clock
451
    .pc           (pc),            // Program counter
452
    .por          (por),           // Power on reset
453
    .puc          (puc)            // Main system reset
454
);
455
 
456
`else
457
assign dbg_freeze   =  1'b0;
458
assign dbg_halt_cmd =  1'b0;
459
assign dbg_mem_addr = 16'h0000;
460
assign dbg_mem_dout = 16'h0000;
461
assign dbg_mem_en   =  1'b0;
462
assign dbg_mem_wr   =  2'b00;
463
assign dbg_reg_wr   =  1'b0;
464
assign dbg_reset    =  1'b0;
465
assign dbg_uart_txd =  1'b0;
466
`endif
467
 
468
 
469
endmodule // openMSP430
470
 
471 104 olivier.gi
`ifdef OMSP_NO_INCLUDE
472
`else
473 33 olivier.gi
`include "openMSP430_undefines.v"
474 104 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.