OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_defines.v] - Blame information for rev 258

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's definitions                                        ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6 185 julius
////  http://opencores.org/project,or1k                           ////
7 10 unneback
////                                                              ////
8
////  Description                                                 ////
9 185 julius
////  Defines for the OR1200 core                                 ////
10 10 unneback
////                                                              ////
11
////  To Do:                                                      ////
12
////   - add parameters that are missing                          ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44 141 marcus.erl
// $Log: or1200_defines.v,v $
45
// Revision 2.0  2010/06/30 11:00:00  ORSoC
46
// Minor update: 
47
// Defines added, bugs fixed. 
48 10 unneback
 
49
//
50
// Dump VCD
51
//
52
//`define OR1200_VCD_DUMP
53
 
54
//
55
// Generate debug messages during simulation
56
//
57
//`define OR1200_VERBOSE
58
 
59
//  `define OR1200_ASIC
60
////////////////////////////////////////////////////////
61
//
62
// Typical configuration for an ASIC
63
//
64
`ifdef OR1200_ASIC
65
 
66
//
67
// Target ASIC memories
68
//
69
//`define OR1200_ARTISAN_SSP
70
//`define OR1200_ARTISAN_SDP
71
//`define OR1200_ARTISAN_STP
72
`define OR1200_VIRTUALSILICON_SSP
73
//`define OR1200_VIRTUALSILICON_STP_T1
74
//`define OR1200_VIRTUALSILICON_STP_T2
75
 
76
//
77
// Do not implement Data cache
78
//
79
//`define OR1200_NO_DC
80
 
81
//
82
// Do not implement Insn cache
83
//
84
//`define OR1200_NO_IC
85
 
86
//
87
// Do not implement Data MMU
88
//
89
//`define OR1200_NO_DMMU
90
 
91
//
92
// Do not implement Insn MMU
93
//
94
//`define OR1200_NO_IMMU
95
 
96
//
97
// Select between ASIC optimized and generic multiplier
98
//
99
//`define OR1200_ASIC_MULTP2_32X32
100
`define OR1200_GENERIC_MULTP2_32X32
101
 
102
//
103
// Size/type of insn/data cache if implemented
104
//
105
// `define OR1200_IC_1W_512B
106
// `define OR1200_IC_1W_4KB
107
`define OR1200_IC_1W_8KB
108
// `define OR1200_DC_1W_4KB
109
`define OR1200_DC_1W_8KB
110
 
111
`else
112
 
113
 
114
/////////////////////////////////////////////////////////
115
//
116
// Typical configuration for an FPGA
117
//
118
 
119
//
120
// Target FPGA memories
121
//
122
//`define OR1200_ALTERA_LPM
123
//`define OR1200_XILINX_RAMB16
124
//`define OR1200_XILINX_RAMB4
125
//`define OR1200_XILINX_RAM32X1D
126
//`define OR1200_USE_RAM16X1D_FOR_RAM32X1D
127 258 julius
// Generic models should infer RAM blocks at synthesis time (not only effects 
128
// single port ram.)
129
`define OR1200_GENERIC
130 10 unneback
 
131
//
132
// Do not implement Data cache
133
//
134 258 julius
//`define OR1200_NO_DC
135 10 unneback
 
136
//
137
// Do not implement Insn cache
138
//
139 141 marcus.erl
//`define OR1200_NO_IC
140 10 unneback
 
141
//
142
// Do not implement Data MMU
143
//
144 141 marcus.erl
//`define OR1200_NO_DMMU
145 10 unneback
 
146
//
147
// Do not implement Insn MMU
148
//
149 141 marcus.erl
//`define OR1200_NO_IMMU
150 10 unneback
 
151
//
152
// Select between ASIC and generic multiplier
153
//
154
// (Generic seems to trigger a bug in the Cadence Ncsim simulator)
155
//
156
//`define OR1200_ASIC_MULTP2_32X32
157
`define OR1200_GENERIC_MULTP2_32X32
158
 
159
//
160
// Size/type of insn/data cache if implemented
161
// (consider available FPGA memory resources)
162
//
163
//`define OR1200_IC_1W_512B
164 141 marcus.erl
//`define OR1200_IC_1W_4KB
165
`define OR1200_IC_1W_8KB
166 258 julius
//`define OR1200_DC_1W_4KB
167
`define OR1200_DC_1W_8KB
168 10 unneback
 
169
`endif
170
 
171
 
172
//////////////////////////////////////////////////////////
173
//
174
// Do not change below unless you know what you are doing
175
//
176
 
177
//
178
// Enable RAM BIST
179
//
180
// At the moment this only works for Virtual Silicon
181
// single port RAMs. For other RAMs it has not effect.
182
// Special wrapper for VS RAMs needs to be provided
183
// with scan flops to facilitate bist scan.
184
//
185
//`define OR1200_BIST
186
 
187
//
188
// Register OR1200 WISHBONE outputs
189
// (must be defined/enabled)
190
//
191
`define OR1200_REGISTERED_OUTPUTS
192
 
193
//
194
// Register OR1200 WISHBONE inputs
195
//
196
// (must be undefined/disabled)
197
//
198
//`define OR1200_REGISTERED_INPUTS
199
 
200
//
201
// Disable bursts if they are not supported by the
202
// memory subsystem (only affect cache line fill)
203
//
204
//`define OR1200_NO_BURSTS
205
//
206
 
207
//
208
// WISHBONE retry counter range
209
//
210
// 2^value range for retry counter. Retry counter
211
// is activated whenever *wb_rty_i is asserted and
212
// until retry counter expires, corresponding
213
// WISHBONE interface is deactivated.
214
//
215
// To disable retry counters and *wb_rty_i all together,
216
// undefine this macro.
217
//
218
//`define OR1200_WB_RETRY 7
219
 
220
//
221
// WISHBONE Consecutive Address Burst
222
//
223
// This was used prior to WISHBONE B3 specification
224
// to identify bursts. It is no longer needed but
225
// remains enabled for compatibility with old designs.
226
//
227
// To remove *wb_cab_o ports undefine this macro.
228
//
229 141 marcus.erl
//`define OR1200_WB_CAB
230 10 unneback
 
231
//
232
// WISHBONE B3 compatible interface
233
//
234
// This follows the WISHBONE B3 specification.
235
// It is not enabled by default because most
236
// designs still don't use WB b3.
237
//
238
// To enable *wb_cti_o/*wb_bte_o ports,
239
// define this macro.
240
//
241 141 marcus.erl
`define OR1200_WB_B3
242 10 unneback
 
243
//
244 141 marcus.erl
// LOG all WISHBONE accesses
245
//
246
`define OR1200_LOG_WB_ACCESS
247
 
248
//
249 10 unneback
// Enable additional synthesis directives if using
250
// _Synopsys_ synthesis tool
251
//
252
//`define OR1200_ADDITIONAL_SYNOPSYS_DIRECTIVES
253
 
254
//
255
// Enables default statement in some case blocks
256
// and disables Synopsys synthesis directive full_case
257
//
258
// By default it is enabled. When disabled it
259
// can increase clock frequency.
260
//
261
`define OR1200_CASE_DEFAULT
262
 
263
//
264
// Operand width / register file address width
265
//
266
// (DO NOT CHANGE)
267
//
268
`define OR1200_OPERAND_WIDTH            32
269
`define OR1200_REGFILE_ADDR_WIDTH       5
270
 
271
//
272
// l.add/l.addi/l.and and optional l.addc/l.addic
273
// also set (compare) flag when result of their
274
// operation equals zero
275
//
276
// At the time of writing this, default or32
277
// C/C++ compiler doesn't generate code that
278
// would benefit from this optimization.
279
//
280
// By default this optimization is disabled to
281
// save area.
282
//
283
//`define OR1200_ADDITIONAL_FLAG_MODIFIERS
284
 
285
//
286
// Implement l.addc/l.addic instructions
287
//
288
// By default implementation of l.addc/l.addic
289
// instructions is enabled in case you need them.
290
// If you don't use them, then disable implementation
291
// to save area.
292
//
293 141 marcus.erl
//`define OR1200_IMPL_ADDC
294 10 unneback
 
295
//
296 141 marcus.erl
// Implement l.sub instruction
297
//
298
// By default implementation of l.sub instructions
299
// is enabled to be compliant with the simulator.
300
// If you don't use carry bit, then disable
301
// implementation to save area.
302
//
303
`define OR1200_IMPL_SUB
304
 
305
//
306 10 unneback
// Implement carry bit SR[CY]
307
//
308 141 marcus.erl
//
309 10 unneback
// By default implementation of SR[CY] is enabled
310 141 marcus.erl
// to be compliant with the simulator. However SR[CY]
311
// is explicitly only used by l.addc/l.addic/l.sub
312
// instructions and if these three insns are not
313 10 unneback
// implemented there is not much point having SR[CY].
314
//
315 141 marcus.erl
//`define OR1200_IMPL_CY
316 10 unneback
 
317
//
318
// Implement rotate in the ALU
319
//
320
// At the time of writing this, or32
321
// C/C++ compiler doesn't generate rotate
322
// instructions. However or32 assembler
323
// can assemble code that uses rotate insn.
324
// This means that rotate instructions
325
// must be used manually inserted.
326
//
327
// By default implementation of rotate
328
// is disabled to save area and increase
329
// clock frequency.
330
//
331
//`define OR1200_IMPL_ALU_ROTATE
332
 
333
//
334
// Type of ALU compare to implement
335
//
336
// Try either one to find what yields
337
// higher clock frequencyin your case.
338
//
339
//`define OR1200_IMPL_ALU_COMP1
340
`define OR1200_IMPL_ALU_COMP2
341
 
342
//
343
// Implement multiplier
344
//
345
// By default multiplier is implemented
346
//
347 258 julius
`define OR1200_MULT_IMPLEMENTED
348 10 unneback
 
349
//
350
// Implement multiply-and-accumulate
351
//
352
// By default MAC is implemented. To
353
// implement MAC, multiplier needs to be
354
// implemented.
355
//
356 258 julius
`define OR1200_MAC_IMPLEMENTED
357 10 unneback
 
358
//
359 258 julius
// Implement optional l.div/l.divu instructions
360
//
361
// By default divide instructions are not implemented
362
// to save area and increase clock frequency. or32 C/C++
363
// compiler can use soft library for division.
364
//
365
// To implement divide, both multiplier and MAC needs to be implemented.
366
//
367
`define OR1200_DIV_IMPLEMENTED
368
 
369
//
370 10 unneback
// Low power, slower multiplier
371
//
372
// Select between low-power (larger) multiplier
373
// and faster multiplier. The actual difference
374
// is only AND logic that prevents distribution
375
// of operands into the multiplier when instruction
376
// in execution is not multiply instruction
377
//
378
//`define OR1200_LOWPWR_MULT
379
 
380
//
381 185 julius
// Implement HW Single Precision FPU
382
//
383
//`define OR1200_FPU_IMPLEMENTED
384 258 julius
//
385
// Select modules for FPU
386
`ifdef OR1200_FPU_IMPLEMENTED
387
// FPU arithmetic module (add,sub,mul,div)
388
 `define OR1200_FPU_ARITH_FPU100
389
// FPU conversion module (int-float,float-int)
390
 `define OR1200_FPU_CONV_USSELMANN
391
// FPU comparison module
392
 `define OR1200_FPU_COMP_USSELMANN
393
`endif
394 185 julius
 
395
//
396 10 unneback
// Clock ratio RISC clock versus WB clock
397
//
398
// If you plan to run WB:RISC clock fixed to 1:1, disable
399
// both defines
400
//
401
// For WB:RISC 1:2 or 1:1, enable OR1200_CLKDIV_2_SUPPORTED
402
// and use clmode to set ratio
403
//
404
// For WB:RISC 1:4, 1:2 or 1:1, enable both defines and use
405
// clmode to set ratio
406
//
407 141 marcus.erl
//`define OR1200_CLKDIV_2_SUPPORTED
408 10 unneback
//`define OR1200_CLKDIV_4_SUPPORTED
409
 
410
//
411
// Type of register file RAM
412
//
413
// Memory macro w/ two ports (see or1200_tpram_32x32.v)
414
//`define OR1200_RFRAM_TWOPORT
415
//
416 258 julius
// Memory macro dual port (see or1200_dpram.v)
417 141 marcus.erl
`define OR1200_RFRAM_DUALPORT
418
 
419 10 unneback
//
420
// Generic (flip-flop based) register file (see or1200_rfram_generic.v)
421 141 marcus.erl
//`define OR1200_RFRAM_GENERIC
422
//  Generic register file supports - 16 registers 
423
`ifdef OR1200_RFRAM_GENERIC
424
//    `define OR1200_RFRAM_16REG
425
`endif
426 10 unneback
 
427
//
428
// Type of mem2reg aligner to implement.
429
//
430
// Once OR1200_IMPL_MEM2REG2 yielded faster
431
// circuit, however with today tools it will
432
// most probably give you slower circuit.
433
//
434
`define OR1200_IMPL_MEM2REG1
435
//`define OR1200_IMPL_MEM2REG2
436
 
437
//
438
// ALUOPs
439
//
440
`define OR1200_ALUOP_WIDTH      4
441
`define OR1200_ALUOP_NOP        4'd4
442
/* Order defined by arith insns that have two source operands both in regs
443
   (see binutils/include/opcode/or32.h) */
444
`define OR1200_ALUOP_ADD        4'd0
445
`define OR1200_ALUOP_ADDC       4'd1
446
`define OR1200_ALUOP_SUB        4'd2
447
`define OR1200_ALUOP_AND        4'd3
448
`define OR1200_ALUOP_OR         4'd4
449
`define OR1200_ALUOP_XOR        4'd5
450
`define OR1200_ALUOP_MUL        4'd6
451
`define OR1200_ALUOP_CUST5      4'd7
452
`define OR1200_ALUOP_SHROT      4'd8
453
`define OR1200_ALUOP_DIV        4'd9
454
`define OR1200_ALUOP_DIVU       4'd10
455
/* Order not specifically defined. */
456
`define OR1200_ALUOP_IMM        4'd11
457
`define OR1200_ALUOP_MOVHI      4'd12
458
`define OR1200_ALUOP_COMP       4'd13
459
`define OR1200_ALUOP_MTSR       4'd14
460
`define OR1200_ALUOP_MFSR       4'd15
461 141 marcus.erl
`define OR1200_ALUOP_CMOV       4'd14
462
`define OR1200_ALUOP_FF1        4'd15
463 10 unneback
//
464
// MACOPs
465
//
466 141 marcus.erl
`define OR1200_MACOP_WIDTH      3
467
`define OR1200_MACOP_NOP        3'b000
468
`define OR1200_MACOP_MAC        3'b001
469
`define OR1200_MACOP_MSB        3'b010
470 10 unneback
 
471
//
472
// Shift/rotate ops
473
//
474
`define OR1200_SHROTOP_WIDTH    2
475
`define OR1200_SHROTOP_NOP      2'd0
476
`define OR1200_SHROTOP_SLL      2'd0
477
`define OR1200_SHROTOP_SRL      2'd1
478
`define OR1200_SHROTOP_SRA      2'd2
479
`define OR1200_SHROTOP_ROR      2'd3
480
 
481
// Execution cycles per instruction
482 185 julius
`define OR1200_MULTICYCLE_WIDTH 3
483
`define OR1200_ONE_CYCLE                3'd0
484
`define OR1200_TWO_CYCLES               3'd1
485 10 unneback
 
486 258 julius
// Execution control which will "wait on" a module to finish
487
`define OR1200_WAIT_ON_WIDTH 2
488
`define OR1200_WAIT_ON_FPU `OR1200_WAIT_ON_WIDTH'd1
489
`define OR1200_WAIT_ON_MTSPR `OR1200_WAIT_ON_WIDTH'd2
490
 
491 10 unneback
// Operand MUX selects
492
`define OR1200_SEL_WIDTH                2
493
`define OR1200_SEL_RF                   2'd0
494
`define OR1200_SEL_IMM                  2'd1
495
`define OR1200_SEL_EX_FORW              2'd2
496
`define OR1200_SEL_WB_FORW              2'd3
497
 
498
//
499
// BRANCHOPs
500
//
501
`define OR1200_BRANCHOP_WIDTH           3
502
`define OR1200_BRANCHOP_NOP             3'd0
503
`define OR1200_BRANCHOP_J               3'd1
504
`define OR1200_BRANCHOP_JR              3'd2
505
`define OR1200_BRANCHOP_BAL             3'd3
506
`define OR1200_BRANCHOP_BF              3'd4
507
`define OR1200_BRANCHOP_BNF             3'd5
508
`define OR1200_BRANCHOP_RFE             3'd6
509
 
510
//
511
// LSUOPs
512
//
513
// Bit 0: sign extend
514
// Bits 1-2: 00 doubleword, 01 byte, 10 halfword, 11 singleword
515
// Bit 3: 0 load, 1 store
516
`define OR1200_LSUOP_WIDTH              4
517
`define OR1200_LSUOP_NOP                4'b0000
518
`define OR1200_LSUOP_LBZ                4'b0010
519
`define OR1200_LSUOP_LBS                4'b0011
520
`define OR1200_LSUOP_LHZ                4'b0100
521
`define OR1200_LSUOP_LHS                4'b0101
522
`define OR1200_LSUOP_LWZ                4'b0110
523
`define OR1200_LSUOP_LWS                4'b0111
524 141 marcus.erl
`define OR1200_LSUOP_LD                 4'b0001
525
`define OR1200_LSUOP_SD                 4'b1000
526
`define OR1200_LSUOP_SB                 4'b1010
527
`define OR1200_LSUOP_SH                 4'b1100
528
`define OR1200_LSUOP_SW                 4'b1110
529 10 unneback
 
530 141 marcus.erl
// Number of bits of load/store EA precalculated in ID stage
531
// for balancing ID and EX stages.
532
//
533
// Valid range: 2,3,...,30,31
534
`define OR1200_LSUEA_PRECALC            2
535
 
536 10 unneback
// FETCHOPs
537
`define OR1200_FETCHOP_WIDTH            1
538
`define OR1200_FETCHOP_NOP              1'b0
539
`define OR1200_FETCHOP_LW               1'b1
540
 
541
//
542
// Register File Write-Back OPs
543
//
544
// Bit 0: register file write enable
545 185 julius
// Bits 3-1: write-back mux selects
546
//
547
 `define OR1200_RFWBOP_WIDTH            4
548
 `define OR1200_RFWBOP_NOP              4'b0000
549
 `define OR1200_RFWBOP_ALU              3'b000
550
 `define OR1200_RFWBOP_LSU              3'b001
551
 `define OR1200_RFWBOP_SPRS             3'b010
552
 `define OR1200_RFWBOP_LR               3'b011
553
 `define OR1200_RFWBOP_FPU              3'b100
554 10 unneback
 
555
// Compare instructions
556
`define OR1200_COP_SFEQ       3'b000
557
`define OR1200_COP_SFNE       3'b001
558
`define OR1200_COP_SFGT       3'b010
559
`define OR1200_COP_SFGE       3'b011
560
`define OR1200_COP_SFLT       3'b100
561
`define OR1200_COP_SFLE       3'b101
562
`define OR1200_COP_X          3'b111
563
`define OR1200_SIGNED_COMPARE 'd3
564
`define OR1200_COMPOP_WIDTH     4
565
 
566
//
567 185 julius
// FP OPs
568
//
569
// MSbit indicates FPU operation valid
570
//
571
`define OR1200_FPUOP_WIDTH      8
572
// FPU unit from Usselman takes 5 cycles from decode, so 4 ex. cycles
573
`define OR1200_FPUOP_CYCLES 3'd4
574
// FP instruction is double precision if bit 4 is set. We're a 32-bit 
575
// implementation thus do not support double precision FP 
576
`define OR1200_FPUOP_DOUBLE_BIT 4
577
`define OR1200_FPUOP_ADD  8'b0000_0000
578
`define OR1200_FPUOP_SUB  8'b0000_0001
579
`define OR1200_FPUOP_MUL  8'b0000_0010
580
`define OR1200_FPUOP_DIV  8'b0000_0011
581
`define OR1200_FPUOP_ITOF 8'b0000_0100
582
`define OR1200_FPUOP_FTOI 8'b0000_0101
583
`define OR1200_FPUOP_REM  8'b0000_0110
584
`define OR1200_FPUOP_RESERVED  8'b0000_0111
585
// FP Compare instructions
586
`define OR1200_FPCOP_SFEQ 8'b0000_1000
587
`define OR1200_FPCOP_SFNE 8'b0000_1001
588
`define OR1200_FPCOP_SFGT 8'b0000_1010
589
`define OR1200_FPCOP_SFGE 8'b0000_1011
590
`define OR1200_FPCOP_SFLT 8'b0000_1100
591
`define OR1200_FPCOP_SFLE 8'b0000_1101
592
 
593
//
594 10 unneback
// TAGs for instruction bus
595
//
596
`define OR1200_ITAG_IDLE        4'h0    // idle bus
597
`define OR1200_ITAG_NI          4'h1    // normal insn
598
`define OR1200_ITAG_BE          4'hb    // Bus error exception
599
`define OR1200_ITAG_PE          4'hc    // Page fault exception
600
`define OR1200_ITAG_TE          4'hd    // TLB miss exception
601
 
602
//
603
// TAGs for data bus
604
//
605
`define OR1200_DTAG_IDLE        4'h0    // idle bus
606
`define OR1200_DTAG_ND          4'h1    // normal data
607
`define OR1200_DTAG_AE          4'ha    // Alignment exception
608
`define OR1200_DTAG_BE          4'hb    // Bus error exception
609
`define OR1200_DTAG_PE          4'hc    // Page fault exception
610
`define OR1200_DTAG_TE          4'hd    // TLB miss exception
611
 
612
 
613
//////////////////////////////////////////////
614
//
615
// ORBIS32 ISA specifics
616
//
617
 
618
// SHROT_OP position in machine word
619
`define OR1200_SHROTOP_POS              7:6
620
 
621
// ALU instructions multicycle field in machine word
622
`define OR1200_ALUMCYC_POS              9:8
623
 
624
//
625
// Instruction opcode groups (basic)
626
//
627
`define OR1200_OR32_J                 6'b000000
628
`define OR1200_OR32_JAL               6'b000001
629
`define OR1200_OR32_BNF               6'b000011
630
`define OR1200_OR32_BF                6'b000100
631
`define OR1200_OR32_NOP               6'b000101
632
`define OR1200_OR32_MOVHI             6'b000110
633
`define OR1200_OR32_XSYNC             6'b001000
634
`define OR1200_OR32_RFE               6'b001001
635
/* */
636
`define OR1200_OR32_JR                6'b010001
637
`define OR1200_OR32_JALR              6'b010010
638
`define OR1200_OR32_MACI              6'b010011
639
/* */
640
`define OR1200_OR32_LWZ               6'b100001
641
`define OR1200_OR32_LBZ               6'b100011
642
`define OR1200_OR32_LBS               6'b100100
643
`define OR1200_OR32_LHZ               6'b100101
644
`define OR1200_OR32_LHS               6'b100110
645
`define OR1200_OR32_ADDI              6'b100111
646
`define OR1200_OR32_ADDIC             6'b101000
647
`define OR1200_OR32_ANDI              6'b101001
648
`define OR1200_OR32_ORI               6'b101010
649
`define OR1200_OR32_XORI              6'b101011
650
`define OR1200_OR32_MULI              6'b101100
651
`define OR1200_OR32_MFSPR             6'b101101
652
`define OR1200_OR32_SH_ROTI           6'b101110
653
`define OR1200_OR32_SFXXI             6'b101111
654
/* */
655
`define OR1200_OR32_MTSPR             6'b110000
656
`define OR1200_OR32_MACMSB            6'b110001
657 185 julius
`define OR1200_OR32_FLOAT             6'b110010
658 10 unneback
/* */
659
`define OR1200_OR32_SW                6'b110101
660
`define OR1200_OR32_SB                6'b110110
661
`define OR1200_OR32_SH                6'b110111
662
`define OR1200_OR32_ALU               6'b111000
663
`define OR1200_OR32_SFXX              6'b111001
664
//`define OR1200_OR32_CUST5             6'b111100
665
 
666
 
667
/////////////////////////////////////////////////////
668
//
669
// Exceptions
670
//
671
 
672
//
673
// Exception vectors per OR1K architecture:
674
// 0xPPPPP100 - reset
675
// 0xPPPPP200 - bus error
676
// ... etc
677
// where P represents exception prefix.
678
//
679
// Exception vectors can be customized as per
680
// the following formula:
681
// 0xPPPPPNVV - exception N
682
//
683
// P represents exception prefix
684
// N represents exception N
685
// VV represents length of the individual vector space,
686
//   usually it is 8 bits wide and starts with all bits zero
687
//
688
 
689
//
690
// PPPPP and VV parts
691
//
692
// Sum of these two defines needs to be 28
693
//
694 141 marcus.erl
`define OR1200_EXCEPT_EPH0_P    20'h00000
695
`define OR1200_EXCEPT_EPH1_P    20'hF0000
696
`define OR1200_EXCEPT_V             8'h00
697 10 unneback
 
698
//
699
// N part width
700
//
701
`define OR1200_EXCEPT_WIDTH 4
702
 
703
//
704
// Definition of exception vectors
705
//
706
// To avoid implementation of a certain exception,
707
// simply comment out corresponding line
708
//
709
`define OR1200_EXCEPT_UNUSED            `OR1200_EXCEPT_WIDTH'hf
710
`define OR1200_EXCEPT_TRAP              `OR1200_EXCEPT_WIDTH'he
711 185 julius
`define OR1200_EXCEPT_FLOAT             `OR1200_EXCEPT_WIDTH'hd
712 10 unneback
`define OR1200_EXCEPT_SYSCALL           `OR1200_EXCEPT_WIDTH'hc
713
`define OR1200_EXCEPT_RANGE             `OR1200_EXCEPT_WIDTH'hb
714
`define OR1200_EXCEPT_ITLBMISS          `OR1200_EXCEPT_WIDTH'ha
715
`define OR1200_EXCEPT_DTLBMISS          `OR1200_EXCEPT_WIDTH'h9
716
`define OR1200_EXCEPT_INT               `OR1200_EXCEPT_WIDTH'h8
717
`define OR1200_EXCEPT_ILLEGAL           `OR1200_EXCEPT_WIDTH'h7
718
`define OR1200_EXCEPT_ALIGN             `OR1200_EXCEPT_WIDTH'h6
719
`define OR1200_EXCEPT_TICK              `OR1200_EXCEPT_WIDTH'h5
720
`define OR1200_EXCEPT_IPF               `OR1200_EXCEPT_WIDTH'h4
721
`define OR1200_EXCEPT_DPF               `OR1200_EXCEPT_WIDTH'h3
722
`define OR1200_EXCEPT_BUSERR            `OR1200_EXCEPT_WIDTH'h2
723
`define OR1200_EXCEPT_RESET             `OR1200_EXCEPT_WIDTH'h1
724
`define OR1200_EXCEPT_NONE              `OR1200_EXCEPT_WIDTH'h0
725
 
726
 
727
/////////////////////////////////////////////////////
728
//
729
// SPR groups
730
//
731
 
732
// Bits that define the group
733
`define OR1200_SPR_GROUP_BITS   15:11
734
 
735
// Width of the group bits
736
`define OR1200_SPR_GROUP_WIDTH  5
737
 
738
// Bits that define offset inside the group
739
`define OR1200_SPR_OFS_BITS 10:0
740
 
741
// List of groups
742
`define OR1200_SPR_GROUP_SYS    5'd00
743
`define OR1200_SPR_GROUP_DMMU   5'd01
744
`define OR1200_SPR_GROUP_IMMU   5'd02
745
`define OR1200_SPR_GROUP_DC     5'd03
746
`define OR1200_SPR_GROUP_IC     5'd04
747
`define OR1200_SPR_GROUP_MAC    5'd05
748
`define OR1200_SPR_GROUP_DU     5'd06
749
`define OR1200_SPR_GROUP_PM     5'd08
750
`define OR1200_SPR_GROUP_PIC    5'd09
751
`define OR1200_SPR_GROUP_TT     5'd10
752 185 julius
`define OR1200_SPR_GROUP_FPU    5'd11
753 10 unneback
 
754
/////////////////////////////////////////////////////
755
//
756
// System group
757
//
758
 
759
//
760
// System registers
761
//
762
`define OR1200_SPR_CFGR         7'd0
763
`define OR1200_SPR_RF           6'd32   // 1024 >> 5
764
`define OR1200_SPR_NPC          11'd16
765
`define OR1200_SPR_SR           11'd17
766
`define OR1200_SPR_PPC          11'd18
767 185 julius
`define OR1200_SPR_FPCSR        11'd20
768 10 unneback
`define OR1200_SPR_EPCR         11'd32
769
`define OR1200_SPR_EEAR         11'd48
770
`define OR1200_SPR_ESR          11'd64
771
 
772
//
773
// SR bits
774
//
775 141 marcus.erl
`define OR1200_SR_WIDTH 17
776 10 unneback
`define OR1200_SR_SM   0
777
`define OR1200_SR_TEE  1
778
`define OR1200_SR_IEE  2
779
`define OR1200_SR_DCE  3
780
`define OR1200_SR_ICE  4
781
`define OR1200_SR_DME  5
782
`define OR1200_SR_IME  6
783
`define OR1200_SR_LEE  7
784
`define OR1200_SR_CE   8
785
`define OR1200_SR_F    9
786
`define OR1200_SR_CY   10       // Unused
787
`define OR1200_SR_OV   11       // Unused
788
`define OR1200_SR_OVE  12       // Unused
789
`define OR1200_SR_DSX  13       // Unused
790
`define OR1200_SR_EPH  14
791
`define OR1200_SR_FO   15
792 141 marcus.erl
`define OR1200_SR_TED  16
793 10 unneback
`define OR1200_SR_CID  31:28    // Unimplemented
794
 
795
//
796
// Bits that define offset inside the group
797
//
798
`define OR1200_SPROFS_BITS 10:0
799
 
800
//
801
// Default Exception Prefix
802
//
803
// 1'b0 - OR1200_EXCEPT_EPH0_P (0x0000_0000)
804
// 1'b1 - OR1200_EXCEPT_EPH1_P (0xF000_0000)
805
//
806
`define OR1200_SR_EPH_DEF       1'b0
807
 
808 185 julius
 
809
//
810
// FPCSR bits
811
//
812
`define OR1200_FPCSR_WIDTH 12
813
`define OR1200_FPCSR_FPEE  0
814
`define OR1200_FPCSR_RM    2:1
815
`define OR1200_FPCSR_OVF   3
816
`define OR1200_FPCSR_UNF   4
817
`define OR1200_FPCSR_SNF   5
818
`define OR1200_FPCSR_QNF   6
819
`define OR1200_FPCSR_ZF    7
820
`define OR1200_FPCSR_IXF   8
821
`define OR1200_FPCSR_IVF   9
822
`define OR1200_FPCSR_INF   10
823
`define OR1200_FPCSR_DZF   11
824
`define OR1200_FPCSR_RES   31:12
825
 
826 10 unneback
/////////////////////////////////////////////////////
827
//
828
// Power Management (PM)
829
//
830
 
831
// Define it if you want PM implemented
832 141 marcus.erl
//`define OR1200_PM_IMPLEMENTED
833 10 unneback
 
834
// Bit positions inside PMR (don't change)
835
`define OR1200_PM_PMR_SDF 3:0
836
`define OR1200_PM_PMR_DME 4
837
`define OR1200_PM_PMR_SME 5
838
`define OR1200_PM_PMR_DCGE 6
839
`define OR1200_PM_PMR_UNUSED 31:7
840
 
841
// PMR offset inside PM group of registers
842
`define OR1200_PM_OFS_PMR 11'b0
843
 
844
// PM group
845
`define OR1200_SPRGRP_PM 5'd8
846
 
847
// Define if PMR can be read/written at any address inside PM group
848
`define OR1200_PM_PARTIAL_DECODING
849
 
850
// Define if reading PMR is allowed
851
`define OR1200_PM_READREGS
852
 
853
// Define if unused PMR bits should be zero
854
`define OR1200_PM_UNUSED_ZERO
855
 
856
 
857
/////////////////////////////////////////////////////
858
//
859
// Debug Unit (DU)
860
//
861
 
862
// Define it if you want DU implemented
863
`define OR1200_DU_IMPLEMENTED
864
 
865
//
866
// Define if you want HW Breakpoints
867
// (if HW breakpoints are not implemented
868
// only default software trapping is
869
// possible with l.trap insn - this is
870
// however already enough for use
871
// with or32 gdb)
872
//
873
//`define OR1200_DU_HWBKPTS
874
 
875
// Number of DVR/DCR pairs if HW breakpoints enabled
876 141 marcus.erl
//      Comment / uncomment DU_DVRn / DU_DCRn pairs bellow according to this number ! 
877
//      DU_DVR0..DU_DVR7 should be uncommented for 8 DU_DVRDCR_PAIRS 
878 10 unneback
`define OR1200_DU_DVRDCR_PAIRS 8
879
 
880
// Define if you want trace buffer
881 141 marcus.erl
//      (for now only available for Xilinx Virtex FPGAs)
882 10 unneback
//`define OR1200_DU_TB_IMPLEMENTED
883
 
884 141 marcus.erl
 
885 10 unneback
//
886
// Address offsets of DU registers inside DU group
887
//
888
// To not implement a register, doq not define its address
889
//
890
`ifdef OR1200_DU_HWBKPTS
891
`define OR1200_DU_DVR0          11'd0
892
`define OR1200_DU_DVR1          11'd1
893
`define OR1200_DU_DVR2          11'd2
894
`define OR1200_DU_DVR3          11'd3
895
`define OR1200_DU_DVR4          11'd4
896
`define OR1200_DU_DVR5          11'd5
897
`define OR1200_DU_DVR6          11'd6
898
`define OR1200_DU_DVR7          11'd7
899
`define OR1200_DU_DCR0          11'd8
900
`define OR1200_DU_DCR1          11'd9
901
`define OR1200_DU_DCR2          11'd10
902
`define OR1200_DU_DCR3          11'd11
903
`define OR1200_DU_DCR4          11'd12
904
`define OR1200_DU_DCR5          11'd13
905
`define OR1200_DU_DCR6          11'd14
906
`define OR1200_DU_DCR7          11'd15
907
`endif
908
`define OR1200_DU_DMR1          11'd16
909
`ifdef OR1200_DU_HWBKPTS
910
`define OR1200_DU_DMR2          11'd17
911
`define OR1200_DU_DWCR0         11'd18
912
`define OR1200_DU_DWCR1         11'd19
913
`endif
914
`define OR1200_DU_DSR           11'd20
915
`define OR1200_DU_DRR           11'd21
916
`ifdef OR1200_DU_TB_IMPLEMENTED
917
`define OR1200_DU_TBADR         11'h0ff
918
`define OR1200_DU_TBIA          11'h1xx
919
`define OR1200_DU_TBIM          11'h2xx
920
`define OR1200_DU_TBAR          11'h3xx
921
`define OR1200_DU_TBTS          11'h4xx
922
`endif
923
 
924
// Position of offset bits inside SPR address
925
`define OR1200_DUOFS_BITS       10:0
926
 
927
// DCR bits
928
`define OR1200_DU_DCR_DP        0
929
`define OR1200_DU_DCR_CC        3:1
930
`define OR1200_DU_DCR_SC        4
931
`define OR1200_DU_DCR_CT        7:5
932
 
933
// DMR1 bits
934
`define OR1200_DU_DMR1_CW0      1:0
935
`define OR1200_DU_DMR1_CW1      3:2
936
`define OR1200_DU_DMR1_CW2      5:4
937
`define OR1200_DU_DMR1_CW3      7:6
938
`define OR1200_DU_DMR1_CW4      9:8
939
`define OR1200_DU_DMR1_CW5      11:10
940
`define OR1200_DU_DMR1_CW6      13:12
941
`define OR1200_DU_DMR1_CW7      15:14
942
`define OR1200_DU_DMR1_CW8      17:16
943
`define OR1200_DU_DMR1_CW9      19:18
944
`define OR1200_DU_DMR1_CW10     21:20
945
`define OR1200_DU_DMR1_ST       22
946
`define OR1200_DU_DMR1_BT       23
947
`define OR1200_DU_DMR1_DXFW     24
948
`define OR1200_DU_DMR1_ETE      25
949
 
950
// DMR2 bits
951
`define OR1200_DU_DMR2_WCE0     0
952
`define OR1200_DU_DMR2_WCE1     1
953
`define OR1200_DU_DMR2_AWTC     12:2
954
`define OR1200_DU_DMR2_WGB      23:13
955
 
956
// DWCR bits
957
`define OR1200_DU_DWCR_COUNT    15:0
958
`define OR1200_DU_DWCR_MATCH    31:16
959
 
960
// DSR bits
961
`define OR1200_DU_DSR_WIDTH     14
962
`define OR1200_DU_DSR_RSTE      0
963
`define OR1200_DU_DSR_BUSEE     1
964
`define OR1200_DU_DSR_DPFE      2
965
`define OR1200_DU_DSR_IPFE      3
966
`define OR1200_DU_DSR_TTE       4
967
`define OR1200_DU_DSR_AE        5
968
`define OR1200_DU_DSR_IIE       6
969
`define OR1200_DU_DSR_IE        7
970
`define OR1200_DU_DSR_DME       8
971
`define OR1200_DU_DSR_IME       9
972
`define OR1200_DU_DSR_RE        10
973
`define OR1200_DU_DSR_SCE       11
974 185 julius
`define OR1200_DU_DSR_FPE       12
975 10 unneback
`define OR1200_DU_DSR_TE        13
976
 
977
// DRR bits
978
`define OR1200_DU_DRR_RSTE      0
979
`define OR1200_DU_DRR_BUSEE     1
980
`define OR1200_DU_DRR_DPFE      2
981
`define OR1200_DU_DRR_IPFE      3
982
`define OR1200_DU_DRR_TTE       4
983
`define OR1200_DU_DRR_AE        5
984
`define OR1200_DU_DRR_IIE       6
985
`define OR1200_DU_DRR_IE        7
986
`define OR1200_DU_DRR_DME       8
987
`define OR1200_DU_DRR_IME       9
988
`define OR1200_DU_DRR_RE        10
989
`define OR1200_DU_DRR_SCE       11
990 185 julius
`define OR1200_DU_DRR_FPE       12
991 10 unneback
`define OR1200_DU_DRR_TE        13
992
 
993
// Define if reading DU regs is allowed
994
`define OR1200_DU_READREGS
995
 
996
// Define if unused DU registers bits should be zero
997
`define OR1200_DU_UNUSED_ZERO
998
 
999
// Define if IF/LSU status is not needed by devel i/f
1000
`define OR1200_DU_STATUS_UNIMPLEMENTED
1001
 
1002
/////////////////////////////////////////////////////
1003
//
1004
// Programmable Interrupt Controller (PIC)
1005
//
1006
 
1007
// Define it if you want PIC implemented
1008
`define OR1200_PIC_IMPLEMENTED
1009
 
1010
// Define number of interrupt inputs (2-31)
1011 141 marcus.erl
`define OR1200_PIC_INTS 31
1012 10 unneback
 
1013
// Address offsets of PIC registers inside PIC group
1014
`define OR1200_PIC_OFS_PICMR 2'd0
1015
`define OR1200_PIC_OFS_PICSR 2'd2
1016
 
1017
// Position of offset bits inside SPR address
1018
`define OR1200_PICOFS_BITS 1:0
1019
 
1020
// Define if you want these PIC registers to be implemented
1021
`define OR1200_PIC_PICMR
1022
`define OR1200_PIC_PICSR
1023
 
1024
// Define if reading PIC registers is allowed
1025
`define OR1200_PIC_READREGS
1026
 
1027
// Define if unused PIC register bits should be zero
1028
`define OR1200_PIC_UNUSED_ZERO
1029
 
1030
 
1031
/////////////////////////////////////////////////////
1032
//
1033
// Tick Timer (TT)
1034
//
1035
 
1036
// Define it if you want TT implemented
1037
`define OR1200_TT_IMPLEMENTED
1038
 
1039
// Address offsets of TT registers inside TT group
1040
`define OR1200_TT_OFS_TTMR 1'd0
1041
`define OR1200_TT_OFS_TTCR 1'd1
1042
 
1043
// Position of offset bits inside SPR group
1044
`define OR1200_TTOFS_BITS 0
1045
 
1046
// Define if you want these TT registers to be implemented
1047
`define OR1200_TT_TTMR
1048
`define OR1200_TT_TTCR
1049
 
1050
// TTMR bits
1051
`define OR1200_TT_TTMR_TP 27:0
1052
`define OR1200_TT_TTMR_IP 28
1053
`define OR1200_TT_TTMR_IE 29
1054
`define OR1200_TT_TTMR_M 31:30
1055
 
1056
// Define if reading TT registers is allowed
1057
`define OR1200_TT_READREGS
1058
 
1059
 
1060
//////////////////////////////////////////////
1061
//
1062
// MAC
1063
//
1064
`define OR1200_MAC_ADDR         0        // MACLO 0xxxxxxxx1, MACHI 0xxxxxxxx0
1065
`define OR1200_MAC_SPR_WE               // Define if MACLO/MACHI are SPR writable
1066
 
1067
//
1068
// Shift {MACHI,MACLO} into destination register when executing l.macrc
1069
//
1070
// According to architecture manual there is no shift, so default value is 0.
1071
//
1072
// However the implementation has deviated in this from the arch manual and had hard coded shift by 28 bits which
1073
// is a useful optimization for MP3 decoding (if using libmad fixed point library). Shifts are no longer
1074
// default setup, but if you need to remain backward compatible, define your shift bits, which were normally
1075
// dest_GPR = {MACHI,MACLO}[59:28]
1076
`define OR1200_MAC_SHIFTBY      0        // 0 = According to arch manual, 28 = obsolete backward compatibility
1077
 
1078
 
1079
//////////////////////////////////////////////
1080
//
1081
// Data MMU (DMMU)
1082
//
1083
 
1084
//
1085
// Address that selects between TLB TR and MR
1086
//
1087
`define OR1200_DTLB_TM_ADDR     7
1088
 
1089
//
1090
// DTLBMR fields
1091
//
1092
`define OR1200_DTLBMR_V_BITS    0
1093
`define OR1200_DTLBMR_CID_BITS  4:1
1094
`define OR1200_DTLBMR_RES_BITS  11:5
1095
`define OR1200_DTLBMR_VPN_BITS  31:13
1096
 
1097
//
1098
// DTLBTR fields
1099
//
1100
`define OR1200_DTLBTR_CC_BITS   0
1101
`define OR1200_DTLBTR_CI_BITS   1
1102
`define OR1200_DTLBTR_WBC_BITS  2
1103
`define OR1200_DTLBTR_WOM_BITS  3
1104
`define OR1200_DTLBTR_A_BITS    4
1105
`define OR1200_DTLBTR_D_BITS    5
1106
`define OR1200_DTLBTR_URE_BITS  6
1107
`define OR1200_DTLBTR_UWE_BITS  7
1108
`define OR1200_DTLBTR_SRE_BITS  8
1109
`define OR1200_DTLBTR_SWE_BITS  9
1110
`define OR1200_DTLBTR_RES_BITS  11:10
1111
`define OR1200_DTLBTR_PPN_BITS  31:13
1112
 
1113
//
1114
// DTLB configuration
1115
//
1116
`define OR1200_DMMU_PS          13                                      // 13 for 8KB page size
1117
`define OR1200_DTLB_INDXW       6                                       // 6 for 64 entry DTLB  7 for 128 entries
1118
`define OR1200_DTLB_INDXL       `OR1200_DMMU_PS                         // 13                   13
1119
`define OR1200_DTLB_INDXH       `OR1200_DMMU_PS+`OR1200_DTLB_INDXW-1    // 18                   19
1120
`define OR1200_DTLB_INDX        `OR1200_DTLB_INDXH:`OR1200_DTLB_INDXL   // 18:13                19:13
1121
`define OR1200_DTLB_TAGW        32-`OR1200_DTLB_INDXW-`OR1200_DMMU_PS   // 13                   12
1122
`define OR1200_DTLB_TAGL        `OR1200_DTLB_INDXH+1                    // 19                   20
1123
`define OR1200_DTLB_TAG         31:`OR1200_DTLB_TAGL                    // 31:19                31:20
1124
`define OR1200_DTLBMRW          `OR1200_DTLB_TAGW+1                     // +1 because of V bit
1125
`define OR1200_DTLBTRW          32-`OR1200_DMMU_PS+5                    // +5 because of protection bits and CI
1126
 
1127
//
1128
// Cache inhibit while DMMU is not enabled/implemented
1129
//
1130
// cache inhibited 0GB-4GB              1'b1
1131
// cache inhibited 0GB-2GB              !dcpu_adr_i[31]
1132
// cache inhibited 0GB-1GB 2GB-3GB      !dcpu_adr_i[30]
1133
// cache inhibited 1GB-2GB 3GB-4GB      dcpu_adr_i[30]
1134
// cache inhibited 2GB-4GB (default)    dcpu_adr_i[31]
1135
// cached 0GB-4GB                       1'b0
1136
//
1137
`define OR1200_DMMU_CI                  dcpu_adr_i[31]
1138
 
1139
 
1140
//////////////////////////////////////////////
1141
//
1142
// Insn MMU (IMMU)
1143
//
1144
 
1145
//
1146
// Address that selects between TLB TR and MR
1147
//
1148
`define OR1200_ITLB_TM_ADDR     7
1149
 
1150
//
1151
// ITLBMR fields
1152
//
1153
`define OR1200_ITLBMR_V_BITS    0
1154
`define OR1200_ITLBMR_CID_BITS  4:1
1155
`define OR1200_ITLBMR_RES_BITS  11:5
1156
`define OR1200_ITLBMR_VPN_BITS  31:13
1157
 
1158
//
1159
// ITLBTR fields
1160
//
1161
`define OR1200_ITLBTR_CC_BITS   0
1162
`define OR1200_ITLBTR_CI_BITS   1
1163
`define OR1200_ITLBTR_WBC_BITS  2
1164
`define OR1200_ITLBTR_WOM_BITS  3
1165
`define OR1200_ITLBTR_A_BITS    4
1166
`define OR1200_ITLBTR_D_BITS    5
1167
`define OR1200_ITLBTR_SXE_BITS  6
1168
`define OR1200_ITLBTR_UXE_BITS  7
1169
`define OR1200_ITLBTR_RES_BITS  11:8
1170
`define OR1200_ITLBTR_PPN_BITS  31:13
1171
 
1172
//
1173
// ITLB configuration
1174
//
1175
`define OR1200_IMMU_PS          13                                      // 13 for 8KB page size
1176
`define OR1200_ITLB_INDXW       6                                       // 6 for 64 entry ITLB  7 for 128 entries
1177
`define OR1200_ITLB_INDXL       `OR1200_IMMU_PS                         // 13                   13
1178
`define OR1200_ITLB_INDXH       `OR1200_IMMU_PS+`OR1200_ITLB_INDXW-1    // 18                   19
1179
`define OR1200_ITLB_INDX        `OR1200_ITLB_INDXH:`OR1200_ITLB_INDXL   // 18:13                19:13
1180
`define OR1200_ITLB_TAGW        32-`OR1200_ITLB_INDXW-`OR1200_IMMU_PS   // 13                   12
1181
`define OR1200_ITLB_TAGL        `OR1200_ITLB_INDXH+1                    // 19                   20
1182
`define OR1200_ITLB_TAG         31:`OR1200_ITLB_TAGL                    // 31:19                31:20
1183
`define OR1200_ITLBMRW          `OR1200_ITLB_TAGW+1                     // +1 because of V bit
1184
`define OR1200_ITLBTRW          32-`OR1200_IMMU_PS+3                    // +3 because of protection bits and CI
1185
 
1186
//
1187
// Cache inhibit while IMMU is not enabled/implemented
1188
// Note: all combinations that use icpu_adr_i cause async loop
1189
//
1190
// cache inhibited 0GB-4GB              1'b1
1191
// cache inhibited 0GB-2GB              !icpu_adr_i[31]
1192
// cache inhibited 0GB-1GB 2GB-3GB      !icpu_adr_i[30]
1193
// cache inhibited 1GB-2GB 3GB-4GB      icpu_adr_i[30]
1194
// cache inhibited 2GB-4GB (default)    icpu_adr_i[31]
1195
// cached 0GB-4GB                       1'b0
1196
//
1197
`define OR1200_IMMU_CI                  1'b0
1198
 
1199
 
1200
/////////////////////////////////////////////////
1201
//
1202
// Insn cache (IC)
1203
//
1204
 
1205
// 3 for 8 bytes, 4 for 16 bytes etc
1206
`define OR1200_ICLS             4
1207
 
1208
//
1209
// IC configurations
1210
//
1211
`ifdef OR1200_IC_1W_512B
1212
`define OR1200_ICSIZE   9     // 512
1213
`define OR1200_ICINDX   `OR1200_ICSIZE-2 // 7
1214
`define OR1200_ICINDXH  `OR1200_ICSIZE-1 // 8
1215
`define OR1200_ICTAGL   `OR1200_ICINDXH+1 // 9
1216
`define OR1200_ICTAG    `OR1200_ICSIZE-`OR1200_ICLS // 5
1217
`define OR1200_ICTAG_W  24
1218
`endif
1219
`ifdef OR1200_IC_1W_4KB
1220
`define OR1200_ICSIZE                   12                      // 4096
1221
`define OR1200_ICINDX                   `OR1200_ICSIZE-2        // 10
1222
`define OR1200_ICINDXH                  `OR1200_ICSIZE-1        // 11
1223
`define OR1200_ICTAGL                   `OR1200_ICINDXH+1       // 12
1224
`define OR1200_ICTAG                    `OR1200_ICSIZE-`OR1200_ICLS     // 8
1225
`define OR1200_ICTAG_W                  21
1226
`endif
1227
`ifdef OR1200_IC_1W_8KB
1228
`define OR1200_ICSIZE                   13                      // 8192
1229
`define OR1200_ICINDX                   `OR1200_ICSIZE-2        // 11
1230
`define OR1200_ICINDXH                  `OR1200_ICSIZE-1        // 12
1231
`define OR1200_ICTAGL                   `OR1200_ICINDXH+1       // 13
1232
`define OR1200_ICTAG                    `OR1200_ICSIZE-`OR1200_ICLS     // 9
1233
`define OR1200_ICTAG_W                  20
1234
`endif
1235
 
1236
 
1237
/////////////////////////////////////////////////
1238
//
1239
// Data cache (DC)
1240
//
1241
 
1242
// 3 for 8 bytes, 4 for 16 bytes etc
1243
`define OR1200_DCLS             4
1244
 
1245 258 julius
// Define to enable default behavior of cache as write through
1246
// Turning this off enabled write back statergy
1247
//
1248
`define OR1200_DC_WRITETHROUGH
1249 10 unneback
 
1250 258 julius
// Define to enable stores from the stack not doing writethrough.
1251
// EXPERIMENTAL
1252
//`define OR1200_DC_NOSTACKWRITETHROUGH
1253
 
1254
// Data cache SPR definitions
1255
`define OR1200_SPRGRP_DC_ADR_WIDTH 3
1256
// Data cache group SPR addresses
1257
`define OR1200_SPRGRP_DC_DCCR           3'd0 // Not implemented
1258
`define OR1200_SPRGRP_DC_DCBPR          3'd1 // Not implemented
1259
`define OR1200_SPRGRP_DC_DCBFR          3'd2
1260
`define OR1200_SPRGRP_DC_DCBIR          3'd3
1261
`define OR1200_SPRGRP_DC_DCBWR          3'd4 // Not implemented
1262
`define OR1200_SPRGRP_DC_DCBLR          3'd5 // Not implemented
1263
 
1264 10 unneback
//
1265
// DC configurations
1266
//
1267
`ifdef OR1200_DC_1W_4KB
1268
`define OR1200_DCSIZE                   12                      // 4096
1269
`define OR1200_DCINDX                   `OR1200_DCSIZE-2        // 10
1270
`define OR1200_DCINDXH                  `OR1200_DCSIZE-1        // 11
1271
`define OR1200_DCTAGL                   `OR1200_DCINDXH+1       // 12
1272
`define OR1200_DCTAG                    `OR1200_DCSIZE-`OR1200_DCLS     // 8
1273
`define OR1200_DCTAG_W                  21
1274
`endif
1275
`ifdef OR1200_DC_1W_8KB
1276
`define OR1200_DCSIZE                   13                      // 8192
1277
`define OR1200_DCINDX                   `OR1200_DCSIZE-2        // 11
1278
`define OR1200_DCINDXH                  `OR1200_DCSIZE-1        // 12
1279
`define OR1200_DCTAGL                   `OR1200_DCINDXH+1       // 13
1280
`define OR1200_DCTAG                    `OR1200_DCSIZE-`OR1200_DCLS     // 9
1281
`define OR1200_DCTAG_W                  20
1282
`endif
1283
 
1284 258 julius
 
1285 10 unneback
/////////////////////////////////////////////////
1286
//
1287
// Store buffer (SB)
1288
//
1289
 
1290
//
1291
// Store buffer
1292
//
1293
// It will improve performance by "caching" CPU stores
1294
// using store buffer. This is most important for function
1295
// prologues because DC can only work in write though mode
1296
// and all stores would have to complete external WB writes
1297
// to memory.
1298
// Store buffer is between DC and data BIU.
1299
// All stores will be stored into store buffer and immediately
1300
// completed by the CPU, even though actual external writes
1301
// will be performed later. As a consequence store buffer masks
1302
// all data bus errors related to stores (data bus errors
1303
// related to loads are delivered normally).
1304
// All pending CPU loads will wait until store buffer is empty to
1305
// ensure strict memory model. Right now this is necessary because
1306
// we don't make destinction between cached and cache inhibited
1307
// address space, so we simply empty store buffer until loads
1308
// can begin.
1309
//
1310
// It makes design a bit bigger, depending what is the number of
1311
// entries in SB FIFO. Number of entries can be changed further
1312
// down.
1313
//
1314
//`define OR1200_SB_IMPLEMENTED
1315
 
1316
//
1317
// Number of store buffer entries
1318
//
1319
// Verified number of entries are 4 and 8 entries
1320
// (2 and 3 for OR1200_SB_LOG). OR1200_SB_ENTRIES must
1321
// always match 2**OR1200_SB_LOG.
1322
// To disable store buffer, undefine
1323
// OR1200_SB_IMPLEMENTED.
1324
//
1325
`define OR1200_SB_LOG           2       // 2 or 3
1326
`define OR1200_SB_ENTRIES       4       // 4 or 8
1327
 
1328
 
1329
/////////////////////////////////////////////////
1330
//
1331
// Quick Embedded Memory (QMEM)
1332
//
1333
 
1334
//
1335
// Quick Embedded Memory
1336
//
1337
// Instantiation of dedicated insn/data memory (RAM or ROM).
1338
// Insn fetch has effective throughput 1insn / clock cycle.
1339
// Data load takes two clock cycles / access, data store
1340
// takes 1 clock cycle / access (if there is no insn fetch)).
1341
// Memory instantiation is shared between insn and data,
1342
// meaning if insn fetch are performed, data load/store
1343
// performance will be lower.
1344
//
1345
// Main reason for QMEM is to put some time critical functions
1346
// into this memory and to have predictable and fast access
1347
// to these functions. (soft fpu, context switch, exception
1348
// handlers, stack, etc)
1349
//
1350
// It makes design a bit bigger and slower. QMEM sits behind
1351
// IMMU/DMMU so all addresses are physical (so the MMUs can be
1352
// used with QMEM and QMEM is seen by the CPU just like any other
1353
// memory in the system). IC/DC are sitting behind QMEM so the
1354
// whole design timing might be worse with QMEM implemented.
1355
//
1356 141 marcus.erl
//`define OR1200_QMEM_IMPLEMENTED
1357 10 unneback
 
1358
//
1359
// Base address and mask of QMEM
1360
//
1361
// Base address defines first address of QMEM. Mask defines
1362
// QMEM range in address space. Actual size of QMEM is however
1363
// determined with instantiated RAM/ROM. However bigger
1364
// mask will reserve more address space for QMEM, but also
1365
// make design faster, while more tight mask will take
1366
// less address space but also make design slower. If
1367
// instantiated RAM/ROM is smaller than space reserved with
1368
// the mask, instatiated RAM/ROM will also be shadowed
1369
// at higher addresses in reserved space.
1370
//
1371
`define OR1200_QMEM_IADDR       32'h0080_0000
1372 141 marcus.erl
`define OR1200_QMEM_IMASK       32'hfff0_0000 // Max QMEM size 1MB
1373
`define OR1200_QMEM_DADDR       32'h0080_0000
1374
`define OR1200_QMEM_DMASK       32'hfff0_0000 // Max QMEM size 1MB
1375 10 unneback
 
1376
//
1377
// QMEM interface byte-select capability
1378
//
1379
// To enable qmem_sel* ports, define this macro.
1380
//
1381
//`define OR1200_QMEM_BSEL
1382
 
1383
//
1384
// QMEM interface acknowledge
1385
//
1386
// To enable qmem_ack port, define this macro.
1387
//
1388
//`define OR1200_QMEM_ACK
1389
 
1390
/////////////////////////////////////////////////////
1391
//
1392
// VR, UPR and Configuration Registers
1393
//
1394
//
1395
// VR, UPR and configuration registers are optional. If 
1396
// implemented, operating system can automatically figure
1397
// out how to use the processor because it knows 
1398
// what units are available in the processor and how they
1399
// are configured.
1400
//
1401
// This section must be last in or1200_defines.v file so
1402
// that all units are already configured and thus
1403
// configuration registers are properly set.
1404
// 
1405
 
1406
// Define if you want configuration registers implemented
1407
`define OR1200_CFGR_IMPLEMENTED
1408
 
1409
// Define if you want full address decode inside SYS group
1410
`define OR1200_SYS_FULL_DECODE
1411
 
1412
// Offsets of VR, UPR and CFGR registers
1413
`define OR1200_SPRGRP_SYS_VR            4'h0
1414
`define OR1200_SPRGRP_SYS_UPR           4'h1
1415
`define OR1200_SPRGRP_SYS_CPUCFGR       4'h2
1416
`define OR1200_SPRGRP_SYS_DMMUCFGR      4'h3
1417
`define OR1200_SPRGRP_SYS_IMMUCFGR      4'h4
1418
`define OR1200_SPRGRP_SYS_DCCFGR        4'h5
1419
`define OR1200_SPRGRP_SYS_ICCFGR        4'h6
1420
`define OR1200_SPRGRP_SYS_DCFGR 4'h7
1421
 
1422
// VR fields
1423
`define OR1200_VR_REV_BITS              5:0
1424
`define OR1200_VR_RES1_BITS             15:6
1425
`define OR1200_VR_CFG_BITS              23:16
1426
`define OR1200_VR_VER_BITS              31:24
1427
 
1428
// VR values
1429 258 julius
`define OR1200_VR_REV                   6'h08
1430 10 unneback
`define OR1200_VR_RES1                  10'h000
1431
`define OR1200_VR_CFG                   8'h00
1432
`define OR1200_VR_VER                   8'h12
1433
 
1434
// UPR fields
1435
`define OR1200_UPR_UP_BITS              0
1436
`define OR1200_UPR_DCP_BITS             1
1437
`define OR1200_UPR_ICP_BITS             2
1438
`define OR1200_UPR_DMP_BITS             3
1439
`define OR1200_UPR_IMP_BITS             4
1440
`define OR1200_UPR_MP_BITS              5
1441
`define OR1200_UPR_DUP_BITS             6
1442
`define OR1200_UPR_PCUP_BITS            7
1443
`define OR1200_UPR_PMP_BITS             8
1444
`define OR1200_UPR_PICP_BITS            9
1445
`define OR1200_UPR_TTP_BITS             10
1446 258 julius
`define OR1200_UPR_FPP_BITS             11
1447
`define OR1200_UPR_RES1_BITS            23:12
1448 10 unneback
`define OR1200_UPR_CUP_BITS             31:24
1449
 
1450
// UPR values
1451
`define OR1200_UPR_UP                   1'b1
1452
`ifdef OR1200_NO_DC
1453
`define OR1200_UPR_DCP                  1'b0
1454
`else
1455
`define OR1200_UPR_DCP                  1'b1
1456
`endif
1457
`ifdef OR1200_NO_IC
1458
`define OR1200_UPR_ICP                  1'b0
1459
`else
1460
`define OR1200_UPR_ICP                  1'b1
1461
`endif
1462
`ifdef OR1200_NO_DMMU
1463
`define OR1200_UPR_DMP                  1'b0
1464
`else
1465
`define OR1200_UPR_DMP                  1'b1
1466
`endif
1467
`ifdef OR1200_NO_IMMU
1468
`define OR1200_UPR_IMP                  1'b0
1469
`else
1470
`define OR1200_UPR_IMP                  1'b1
1471
`endif
1472 258 julius
`ifdef OR1200_MAC_IMPLEMENTED
1473
`define OR1200_UPR_MP                   1'b1
1474
`else
1475
`define OR1200_UPR_MP                   1'b0
1476
`endif
1477 10 unneback
`ifdef OR1200_DU_IMPLEMENTED
1478
`define OR1200_UPR_DUP                  1'b1
1479
`else
1480
`define OR1200_UPR_DUP                  1'b0
1481
`endif
1482
`define OR1200_UPR_PCUP                 1'b0    // Performance counters not present
1483 141 marcus.erl
`ifdef OR1200_PM_IMPLEMENTED
1484 10 unneback
`define OR1200_UPR_PMP                  1'b1
1485
`else
1486
`define OR1200_UPR_PMP                  1'b0
1487
`endif
1488 141 marcus.erl
`ifdef OR1200_PIC_IMPLEMENTED
1489 10 unneback
`define OR1200_UPR_PICP                 1'b1
1490
`else
1491
`define OR1200_UPR_PICP                 1'b0
1492
`endif
1493 141 marcus.erl
`ifdef OR1200_TT_IMPLEMENTED
1494 10 unneback
`define OR1200_UPR_TTP                  1'b1
1495
`else
1496
`define OR1200_UPR_TTP                  1'b0
1497
`endif
1498 258 julius
`ifdef OR1200_FPU_IMPLEMENTED
1499
`define OR1200_UPR_FPP                  1'b1
1500
`else
1501
`define OR1200_UPR_FPP                  1'b0
1502
`endif
1503
`define OR1200_UPR_RES1                 12'h000
1504 10 unneback
`define OR1200_UPR_CUP                  8'h00
1505
 
1506
// CPUCFGR fields
1507
`define OR1200_CPUCFGR_NSGF_BITS        3:0
1508 141 marcus.erl
`define OR1200_CPUCFGR_HGF_BITS     4
1509 10 unneback
`define OR1200_CPUCFGR_OB32S_BITS       5
1510
`define OR1200_CPUCFGR_OB64S_BITS       6
1511
`define OR1200_CPUCFGR_OF32S_BITS       7
1512
`define OR1200_CPUCFGR_OF64S_BITS       8
1513
`define OR1200_CPUCFGR_OV64S_BITS       9
1514
`define OR1200_CPUCFGR_RES1_BITS        31:10
1515
 
1516
// CPUCFGR values
1517 141 marcus.erl
`define OR1200_CPUCFGR_NSGF                 4'h0
1518
`ifdef OR1200_RFRAM_16REG
1519
    `define OR1200_CPUCFGR_HGF                  1'b1
1520
`else
1521
    `define OR1200_CPUCFGR_HGF                  1'b0
1522
`endif
1523 10 unneback
`define OR1200_CPUCFGR_OB32S            1'b1
1524
`define OR1200_CPUCFGR_OB64S            1'b0
1525 258 julius
`ifdef OR1200_FPU_IMPLEMENTED
1526
 `define OR1200_CPUCFGR_OF32S           1'b1
1527
`else
1528
 `define OR1200_CPUCFGR_OF32S           1'b0
1529
`endif
1530
 
1531 10 unneback
`define OR1200_CPUCFGR_OF64S            1'b0
1532
`define OR1200_CPUCFGR_OV64S            1'b0
1533
`define OR1200_CPUCFGR_RES1             22'h000000
1534
 
1535
// DMMUCFGR fields
1536
`define OR1200_DMMUCFGR_NTW_BITS        1:0
1537
`define OR1200_DMMUCFGR_NTS_BITS        4:2
1538
`define OR1200_DMMUCFGR_NAE_BITS        7:5
1539
`define OR1200_DMMUCFGR_CRI_BITS        8
1540
`define OR1200_DMMUCFGR_PRI_BITS        9
1541
`define OR1200_DMMUCFGR_TEIRI_BITS      10
1542
`define OR1200_DMMUCFGR_HTR_BITS        11
1543
`define OR1200_DMMUCFGR_RES1_BITS       31:12
1544
 
1545
// DMMUCFGR values
1546
`ifdef OR1200_NO_DMMU
1547
`define OR1200_DMMUCFGR_NTW             2'h0    // Irrelevant
1548
`define OR1200_DMMUCFGR_NTS             3'h0    // Irrelevant
1549
`define OR1200_DMMUCFGR_NAE             3'h0    // Irrelevant
1550
`define OR1200_DMMUCFGR_CRI             1'b0    // Irrelevant
1551
`define OR1200_DMMUCFGR_PRI             1'b0    // Irrelevant
1552
`define OR1200_DMMUCFGR_TEIRI           1'b0    // Irrelevant
1553
`define OR1200_DMMUCFGR_HTR             1'b0    // Irrelevant
1554
`define OR1200_DMMUCFGR_RES1            20'h00000
1555
`else
1556
`define OR1200_DMMUCFGR_NTW             2'h0    // 1 TLB way
1557
`define OR1200_DMMUCFGR_NTS 3'h`OR1200_DTLB_INDXW       // Num TLB sets
1558
`define OR1200_DMMUCFGR_NAE             3'h0    // No ATB entries
1559
`define OR1200_DMMUCFGR_CRI             1'b0    // No control register
1560
`define OR1200_DMMUCFGR_PRI             1'b0    // No protection reg
1561
`define OR1200_DMMUCFGR_TEIRI           1'b1    // TLB entry inv reg impl.
1562
`define OR1200_DMMUCFGR_HTR             1'b0    // No HW TLB reload
1563
`define OR1200_DMMUCFGR_RES1            20'h00000
1564
`endif
1565
 
1566
// IMMUCFGR fields
1567
`define OR1200_IMMUCFGR_NTW_BITS        1:0
1568
`define OR1200_IMMUCFGR_NTS_BITS        4:2
1569
`define OR1200_IMMUCFGR_NAE_BITS        7:5
1570
`define OR1200_IMMUCFGR_CRI_BITS        8
1571
`define OR1200_IMMUCFGR_PRI_BITS        9
1572
`define OR1200_IMMUCFGR_TEIRI_BITS      10
1573
`define OR1200_IMMUCFGR_HTR_BITS        11
1574
`define OR1200_IMMUCFGR_RES1_BITS       31:12
1575
 
1576
// IMMUCFGR values
1577
`ifdef OR1200_NO_IMMU
1578
`define OR1200_IMMUCFGR_NTW             2'h0    // Irrelevant
1579
`define OR1200_IMMUCFGR_NTS             3'h0    // Irrelevant
1580
`define OR1200_IMMUCFGR_NAE             3'h0    // Irrelevant
1581
`define OR1200_IMMUCFGR_CRI             1'b0    // Irrelevant
1582
`define OR1200_IMMUCFGR_PRI             1'b0    // Irrelevant
1583
`define OR1200_IMMUCFGR_TEIRI           1'b0    // Irrelevant
1584
`define OR1200_IMMUCFGR_HTR             1'b0    // Irrelevant
1585
`define OR1200_IMMUCFGR_RES1            20'h00000
1586
`else
1587
`define OR1200_IMMUCFGR_NTW             2'h0    // 1 TLB way
1588
`define OR1200_IMMUCFGR_NTS 3'h`OR1200_ITLB_INDXW       // Num TLB sets
1589
`define OR1200_IMMUCFGR_NAE             3'h0    // No ATB entry
1590
`define OR1200_IMMUCFGR_CRI             1'b0    // No control reg
1591
`define OR1200_IMMUCFGR_PRI             1'b0    // No protection reg
1592
`define OR1200_IMMUCFGR_TEIRI           1'b1    // TLB entry inv reg impl
1593
`define OR1200_IMMUCFGR_HTR             1'b0    // No HW TLB reload
1594
`define OR1200_IMMUCFGR_RES1            20'h00000
1595
`endif
1596
 
1597
// DCCFGR fields
1598
`define OR1200_DCCFGR_NCW_BITS          2:0
1599
`define OR1200_DCCFGR_NCS_BITS          6:3
1600
`define OR1200_DCCFGR_CBS_BITS          7
1601
`define OR1200_DCCFGR_CWS_BITS          8
1602
`define OR1200_DCCFGR_CCRI_BITS         9
1603
`define OR1200_DCCFGR_CBIRI_BITS        10
1604
`define OR1200_DCCFGR_CBPRI_BITS        11
1605
`define OR1200_DCCFGR_CBLRI_BITS        12
1606
`define OR1200_DCCFGR_CBFRI_BITS        13
1607
`define OR1200_DCCFGR_CBWBRI_BITS       14
1608
`define OR1200_DCCFGR_RES1_BITS 31:15
1609
 
1610
// DCCFGR values
1611
`ifdef OR1200_NO_DC
1612
`define OR1200_DCCFGR_NCW               3'h0    // Irrelevant
1613
`define OR1200_DCCFGR_NCS               4'h0    // Irrelevant
1614
`define OR1200_DCCFGR_CBS               1'b0    // Irrelevant
1615
`define OR1200_DCCFGR_CWS               1'b0    // Irrelevant
1616 141 marcus.erl
`define OR1200_DCCFGR_CCRI              1'b0    // Irrelevant
1617
`define OR1200_DCCFGR_CBIRI             1'b0    // Irrelevant
1618 10 unneback
`define OR1200_DCCFGR_CBPRI             1'b0    // Irrelevant
1619
`define OR1200_DCCFGR_CBLRI             1'b0    // Irrelevant
1620 141 marcus.erl
`define OR1200_DCCFGR_CBFRI             1'b0    // Irrelevant
1621 10 unneback
`define OR1200_DCCFGR_CBWBRI            1'b0    // Irrelevant
1622
`define OR1200_DCCFGR_RES1              17'h00000
1623
`else
1624
`define OR1200_DCCFGR_NCW               3'h0    // 1 cache way
1625
`define OR1200_DCCFGR_NCS (`OR1200_DCTAG)       // Num cache sets
1626
`define OR1200_DCCFGR_CBS (`OR1200_DCLS-4)      // 16 byte cache block
1627 258 julius
`ifdef OR1200_DC_WRITETHROUGH
1628
 `define OR1200_DCCFGR_CWS              1'b0    // Write-through strategy
1629
`else
1630
 `define OR1200_DCCFGR_CWS              1'b1    // Write-back strategy
1631
`endif
1632 10 unneback
`define OR1200_DCCFGR_CCRI              1'b1    // Cache control reg impl.
1633
`define OR1200_DCCFGR_CBIRI             1'b1    // Cache block inv reg impl.
1634
`define OR1200_DCCFGR_CBPRI             1'b0    // Cache block prefetch reg not impl.
1635
`define OR1200_DCCFGR_CBLRI             1'b0    // Cache block lock reg not impl.
1636
`define OR1200_DCCFGR_CBFRI             1'b1    // Cache block flush reg impl.
1637 258 julius
`ifdef OR1200_DC_WRITETHROUGH
1638
 `define OR1200_DCCFGR_CBWBRI           1'b0    // Cache block WB reg not impl.
1639
`else
1640
 `define OR1200_DCCFGR_CBWBRI           1'b1    // Cache block WB reg impl.
1641
`endif
1642 10 unneback
`define OR1200_DCCFGR_RES1              17'h00000
1643
`endif
1644
 
1645
// ICCFGR fields
1646
`define OR1200_ICCFGR_NCW_BITS          2:0
1647
`define OR1200_ICCFGR_NCS_BITS          6:3
1648
`define OR1200_ICCFGR_CBS_BITS          7
1649
`define OR1200_ICCFGR_CWS_BITS          8
1650
`define OR1200_ICCFGR_CCRI_BITS         9
1651
`define OR1200_ICCFGR_CBIRI_BITS        10
1652
`define OR1200_ICCFGR_CBPRI_BITS        11
1653
`define OR1200_ICCFGR_CBLRI_BITS        12
1654
`define OR1200_ICCFGR_CBFRI_BITS        13
1655
`define OR1200_ICCFGR_CBWBRI_BITS       14
1656
`define OR1200_ICCFGR_RES1_BITS 31:15
1657
 
1658
// ICCFGR values
1659
`ifdef OR1200_NO_IC
1660
`define OR1200_ICCFGR_NCW               3'h0    // Irrelevant
1661
`define OR1200_ICCFGR_NCS               4'h0    // Irrelevant
1662
`define OR1200_ICCFGR_CBS               1'b0    // Irrelevant
1663
`define OR1200_ICCFGR_CWS               1'b0    // Irrelevant
1664
`define OR1200_ICCFGR_CCRI              1'b0    // Irrelevant
1665
`define OR1200_ICCFGR_CBIRI             1'b0    // Irrelevant
1666
`define OR1200_ICCFGR_CBPRI             1'b0    // Irrelevant
1667
`define OR1200_ICCFGR_CBLRI             1'b0    // Irrelevant
1668
`define OR1200_ICCFGR_CBFRI             1'b0    // Irrelevant
1669
`define OR1200_ICCFGR_CBWBRI            1'b0    // Irrelevant
1670
`define OR1200_ICCFGR_RES1              17'h00000
1671
`else
1672
`define OR1200_ICCFGR_NCW               3'h0    // 1 cache way
1673
`define OR1200_ICCFGR_NCS (`OR1200_ICTAG)       // Num cache sets
1674
`define OR1200_ICCFGR_CBS (`OR1200_ICLS-4)      // 16 byte cache block
1675
`define OR1200_ICCFGR_CWS               1'b0    // Irrelevant
1676
`define OR1200_ICCFGR_CCRI              1'b1    // Cache control reg impl.
1677
`define OR1200_ICCFGR_CBIRI             1'b1    // Cache block inv reg impl.
1678
`define OR1200_ICCFGR_CBPRI             1'b0    // Cache block prefetch reg not impl.
1679
`define OR1200_ICCFGR_CBLRI             1'b0    // Cache block lock reg not impl.
1680
`define OR1200_ICCFGR_CBFRI             1'b1    // Cache block flush reg impl.
1681
`define OR1200_ICCFGR_CBWBRI            1'b0    // Irrelevant
1682
`define OR1200_ICCFGR_RES1              17'h00000
1683
`endif
1684
 
1685
// DCFGR fields
1686 141 marcus.erl
`define OR1200_DCFGR_NDP_BITS           3:0
1687
`define OR1200_DCFGR_WPCI_BITS          4
1688
`define OR1200_DCFGR_RES1_BITS          31:5
1689 10 unneback
 
1690
// DCFGR values
1691
`ifdef OR1200_DU_HWBKPTS
1692 141 marcus.erl
`define OR1200_DCFGR_NDP                4'h`OR1200_DU_DVRDCR_PAIRS // # of DVR/DCR pairs
1693 10 unneback
`ifdef OR1200_DU_DWCR0
1694
`define OR1200_DCFGR_WPCI               1'b1
1695
`else
1696
`define OR1200_DCFGR_WPCI               1'b0    // WP counters not impl.
1697
`endif
1698
`else
1699 141 marcus.erl
`define OR1200_DCFGR_NDP                4'h0    // Zero DVR/DCR pairs
1700 10 unneback
`define OR1200_DCFGR_WPCI               1'b0    // WP counters not impl.
1701
`endif
1702
`define OR1200_DCFGR_RES1               28'h0000000
1703 141 marcus.erl
 
1704
///////////////////////////////////////////////////////////////////////////////
1705
// Boot Address Selection                                                    //
1706 185 julius
// This only changes where the initial reset occurs. EPH setting is still    //
1707
// used to determine where vectors are located.                              //
1708 141 marcus.erl
///////////////////////////////////////////////////////////////////////////////
1709 185 julius
 // Boot from 0xf0000100
1710 258 julius
//`define OR1200_BOOT_PCREG_DEFAULT 30'h3c00003f
1711
//`define OR1200_BOOT_ADR 32'hf0000100
1712 141 marcus.erl
// Boot from 0x100
1713 258 julius
 `define OR1200_BOOT_PCREG_DEFAULT 30'h0000003f
1714
 `define OR1200_BOOT_ADR 32'h00000100

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.