OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_if.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's instruction fetch                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  PC, instruction fetch, interface to IC.                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.3  2002/03/29 15:16:56  lampret
48
// Some of the warnings fixed.
49
//
50
// Revision 1.2  2002/01/28 01:16:00  lampret
51
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
52
//
53
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56
// Revision 1.10  2001/11/20 18:46:15  simons
57
// Break point bug fixed
58
//
59
// Revision 1.9  2001/11/18 09:58:28  lampret
60
// Fixed some l.trap typos.
61
//
62
// Revision 1.8  2001/11/18 08:36:28  lampret
63
// For GDB changed single stepping and disabled trap exception.
64
//
65
// Revision 1.7  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.6  2001/10/14 13:12:09  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
72
// no message
73
//
74
// Revision 1.1  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
//
78
 
79
// synopsys translate_off
80
`include "timescale.v"
81
// synopsys translate_on
82
`include "or1200_defines.v"
83
 
84
module or1200_if(
85
        // Clock and reset
86
        clk, rst,
87
 
88
        // External i/f to IC
89
        icpu_dat_i, icpu_ack_i, icpu_err_i, icpu_adr_i, icpu_tag_i,
90
 
91
        // Internal i/f
92
        if_freeze, if_insn, if_pc, flushpipe,
93
        if_stall, no_more_dslot, genpc_refetch, rfe,
94
        except_itlbmiss, except_immufault, except_ibuserr
95
);
96
 
97
//
98
// I/O
99
//
100
 
101
//
102
// Clock and reset
103
//
104
input                           clk;
105
input                           rst;
106
 
107
//
108
// External i/f to IC
109
//
110
input   [31:0]                   icpu_dat_i;
111
input                           icpu_ack_i;
112
input                           icpu_err_i;
113
input   [31:0]                   icpu_adr_i;
114
input   [3:0]                    icpu_tag_i;
115
 
116
//
117
// Internal i/f
118
//
119
input                           if_freeze;
120
output  [31:0]                   if_insn;
121
output  [31:0]                   if_pc;
122
input                           flushpipe;
123
output                          if_stall;
124
input                           no_more_dslot;
125
output                          genpc_refetch;
126
input                           rfe;
127
output                          except_itlbmiss;
128
output                          except_immufault;
129
output                          except_ibuserr;
130
 
131
//
132
// Internal wires and regs
133
//
134
reg     [31:0]                   insn_saved;
135
reg     [31:0]                   addr_saved;
136
reg                             saved;
137
 
138
//
139
// IF stage insn
140
//
141
assign if_insn = icpu_err_i | no_more_dslot | rfe ? {`OR1200_OR32_NOP, 26'h041_0000} : saved ? insn_saved : icpu_ack_i ? icpu_dat_i : {`OR1200_OR32_NOP, 26'h061_0000};
142
assign if_pc = saved ? addr_saved : icpu_adr_i;
143
// assign if_stall = !icpu_err_i & !icpu_ack_i & !saved & !no_more_dslot;
144
assign if_stall = !icpu_err_i & !icpu_ack_i & !saved;
145
assign genpc_refetch = saved & icpu_ack_i;
146
assign except_itlbmiss = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_TE) & !no_more_dslot;
147
assign except_immufault = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_PE) & !no_more_dslot;
148
assign except_ibuserr = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_BE) & !no_more_dslot;
149
 
150
//
151
// Flag for saved insn/address
152
//
153
always @(posedge clk or posedge rst)
154
        if (rst)
155
                saved <= #1 1'b0;
156
        else if (flushpipe)
157
                saved <= #1 1'b0;
158
        else if (icpu_ack_i & if_freeze & !saved)
159
                saved <= #1 1'b1;
160
        else if (!if_freeze)
161
                saved <= #1 1'b0;
162
 
163
//
164
// Store fetched instruction
165
//
166
always @(posedge clk or posedge rst)
167
        if (rst)
168
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
169
        else if (flushpipe)
170
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
171
        else if (icpu_ack_i & if_freeze & !saved)
172
                insn_saved <= #1 icpu_dat_i;
173
        else if (!if_freeze)
174
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
175
 
176
//
177
// Store fetched instruction's address
178
//
179
always @(posedge clk or posedge rst)
180
        if (rst)
181
                addr_saved <= #1 32'h00000000;
182
        else if (flushpipe)
183
                addr_saved <= #1 32'h00000000;
184
        else if (icpu_ack_i & if_freeze & !saved)
185
                addr_saved <= #1 icpu_adr_i;
186
        else if (!if_freeze)
187
                addr_saved <= #1 icpu_adr_i;
188
 
189
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.