OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_spram_256x21.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMBS16                                     ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: not supported by cvs2svn $
67
// Revision 1.8  2004/06/08 18:15:32  lampret
68
// Changed behavior of the simulation generic models
69
//
70
// Revision 1.7  2004/04/05 08:29:57  lampret
71
// Merged branch_qmem into main tree.
72
//
73
// Revision 1.3.4.2  2003/12/09 11:46:48  simons
74
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
75
//
76
// Revision 1.3.4.1  2003/07/08 15:36:37  lampret
77
// Added embedded memory QMEM.
78
//
79
// Revision 1.3  2003/04/07 01:19:07  lampret
80
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
81
//
82
// Revision 1.2  2002/10/17 20:04:40  lampret
83
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
84
//
85
// Revision 1.1  2002/01/03 08:16:15  lampret
86
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
87
//
88
// Revision 1.10  2001/11/27 21:24:04  lampret
89
// Changed instantiation name of VS RAMs.
90
//
91
// Revision 1.9  2001/11/27 19:45:04  lampret
92
// Fixed VS RAM instantiation - again.
93
//
94
// Revision 1.8  2001/11/23 21:42:31  simons
95
// Program counter divided to PPC and NPC.
96
//
97
// Revision 1.6  2001/10/21 17:57:16  lampret
98
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
99
//
100
// Revision 1.5  2001/10/14 13:12:09  lampret
101
// MP3 version.
102
//
103
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
104
// no message
105
//
106
// Revision 1.1  2001/08/09 13:39:33  lampret
107
// Major clean-up.
108
//
109
// Revision 1.2  2001/07/30 05:38:02  lampret
110
// Adding empty directories required by HDL coding guidelines
111
//
112
//
113
 
114
// synopsys translate_off
115
`include "timescale.v"
116
// synopsys translate_on
117
`include "or1200_defines.v"
118
 
119
module or1200_spram_256x21(
120
`ifdef OR1200_BIST
121
        // RAM BIST
122
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
123
`endif
124
        // Generic synchronous single-port RAM interface
125
        clk, rst, ce, we, oe, addr, di, doq
126
);
127
 
128
//
129
// Default address and data buses width
130
//
131
parameter aw = 8;
132
parameter dw = 21;
133
 
134
`ifdef OR1200_BIST
135
//
136
// RAM BIST
137
//
138
input mbist_si_i;
139
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
140
output mbist_so_o;
141
`endif
142
 
143
//
144
// Generic synchronous single-port RAM interface
145
//
146
input                   clk;    // Clock
147
input                   rst;    // Reset
148
input                   ce;     // Chip enable input
149
input                   we;     // Write enable input
150
input                   oe;     // Output enable input
151
input   [aw-1:0] addr;   // address bus inputs
152
input   [dw-1:0] di;     // input data bus
153
output  [dw-1:0] doq;    // output data bus
154
 
155
//
156
// Internal wires and registers
157
//
158
`ifdef OR1200_XILINX_RAMB4
159
wire    [10:0]           unconnected;
160
`else
161
`ifdef OR1200_XILINX_RAMB16
162
wire    [10:0]           unconnected;
163
`endif // !OR1200_XILINX_RAMB16
164
`endif // !OR1200_XILINX_RAMB4
165
 
166
`ifdef OR1200_ARTISAN_SSP
167
`else
168
`ifdef OR1200_VIRTUALSILICON_SSP
169
`else
170
`ifdef OR1200_BIST
171
assign mbist_so_o = mbist_si_i;
172
`endif
173
`endif
174
`endif
175
 
176
`ifdef OR1200_ARTISAN_SSP
177
 
178
//
179
// Instantiation of ASIC memory:
180
//
181
// Artisan Synchronous Single-Port RAM (ra1sh)
182
//
183
`ifdef UNUSED
184
art_hssp_256x21 #(dw, 1<<aw, aw) artisan_ssp(
185
`else
186
`ifdef OR1200_BIST
187
art_hssp_256x21_bist artisan_ssp(
188
`else
189
art_hssp_256x21 artisan_ssp(
190
`endif
191
`endif
192
`ifdef OR1200_BIST
193
        // RAM BIST
194
        .mbist_si_i(mbist_si_i),
195
        .mbist_so_o(mbist_so_o),
196
        .mbist_ctrl_i(mbist_ctrl_i),
197
`endif
198
        .CLK(clk),
199
        .CEN(~ce),
200
        .WEN(~we),
201
        .A(addr),
202
        .D(di),
203
        .OEN(~oe),
204
        .Q(doq)
205
);
206
 
207
`else
208
 
209
`ifdef OR1200_AVANT_ATP
210
 
211
//
212
// Instantiation of ASIC memory:
213
//
214
// Avant! Asynchronous Two-Port RAM
215
//
216
avant_atp avant_atp(
217
        .web(~we),
218
        .reb(),
219
        .oeb(~oe),
220
        .rcsb(),
221
        .wcsb(),
222
        .ra(addr),
223
        .wa(addr),
224
        .di(di),
225
        .doq(doq)
226
);
227
 
228
`else
229
 
230
`ifdef OR1200_VIRAGE_SSP
231
 
232
//
233
// Instantiation of ASIC memory:
234
//
235
// Virage Synchronous 1-port R/W RAM
236
//
237
virage_ssp virage_ssp(
238
        .clk(clk),
239
        .adr(addr),
240
        .d(di),
241
        .we(we),
242
        .oe(oe),
243
        .me(ce),
244
        .q(doq)
245
);
246
 
247
`else
248
 
249
`ifdef OR1200_VIRTUALSILICON_SSP
250
 
251
//
252
// Instantiation of ASIC memory:
253
//
254
// Virtual Silicon Single-Port Synchronous SRAM
255
//
256
`ifdef UNUSED
257
vs_hdsp_256x21 #(1<<aw, aw-1, dw-1) vs_ssp(
258
`else
259
`ifdef OR1200_BIST
260
vs_hdsp_256x21_bist vs_ssp(
261
`else
262
vs_hdsp_256x21 vs_ssp(
263
`endif
264
`endif
265
`ifdef OR1200_BIST
266
        // RAM BIST
267
        .mbist_si_i(mbist_si_i),
268
        .mbist_so_o(mbist_so_o),
269
        .mbist_ctrl_i(mbist_ctrl_i),
270
`endif
271
        .CK(clk),
272
        .ADR(addr),
273
        .DI(di),
274
        .WEN(~we),
275
        .CEN(~ce),
276
        .OEN(~oe),
277
        .DOUT(doq)
278
);
279
 
280
`else
281
 
282
`ifdef OR1200_XILINX_RAMB4
283
 
284
//
285
// Instantiation of FPGA memory:
286
//
287
// Virtex/Spartan2
288
//
289
 
290
//
291
// Block 0
292
//
293
RAMB4_S16 ramb4_s16_0(
294
        .CLK(clk),
295
        .RST(rst),
296
        .ADDR(addr),
297
        .DI(di[15:0]),
298
        .EN(ce),
299
        .WE(we),
300
        .DO(doq[15:0])
301
);
302
 
303
//
304
// Block 1
305
//
306
RAMB4_S16 ramb4_s16_1(
307
        .CLK(clk),
308
        .RST(rst),
309
        .ADDR(addr),
310
        .DI({11'b00000000000, di[20:16]}),
311
        .EN(ce),
312
        .WE(we),
313
        .DO({unconnected, doq[20:16]})
314
);
315
 
316
`else
317
 
318
`ifdef OR1200_XILINX_RAMB16
319
 
320
//
321
// Instantiation of FPGA memory:
322
//
323
// Virtex4/Spartan3E
324
//
325
// Added By Nir Mor
326
//
327
 
328
RAMB16_S36 ramb16_s36(
329
        .CLK(clk),
330
        .SSR(rst),
331
        .ADDR({1'b0,addr}),
332
        .DI({11'b00000000000,di[20:0]}),
333
        .DIP(4'h0),
334
        .EN(ce),
335
        .WE(we),
336
        .DO({unconnected,doq[20:0]}),
337
        .DOP()
338
);
339
 
340
`else
341
 
342
`ifdef OR1200_ALTERA_LPM
343
 
344
//
345
// Instantiation of FPGA memory:
346
//
347
// Altera LPM
348
//
349
// Added By Jamil Khatib
350
//
351
 
352
wire    wr;
353
 
354
assign  wr = ce & we;
355
 
356
initial $display("Using Altera LPM.");
357
 
358
lpm_ram_dq lpm_ram_dq_component (
359
        .address(addr),
360
        .inclock(clk),
361
        .outclock(clk),
362
        .data(di),
363
        .we(wr),
364
        .q(doq)
365
);
366
 
367
defparam lpm_ram_dq_component.lpm_width = dw,
368
        lpm_ram_dq_component.lpm_widthad = aw,
369
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
370
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
371
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
372
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
373
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
374
 
375
`else
376
 
377
//
378
// Generic single-port synchronous RAM model
379
//
380
 
381
//
382
// Generic RAM's registers and wires
383
//
384
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
385
reg     [aw-1:0] addr_reg;               // RAM address register
386
 
387
//
388
// Data output drivers
389
//
390
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
391
 
392
//
393
// RAM adress register
394
//
395
always @(posedge clk or posedge rst)
396
        if (rst)
397
                addr_reg <= #1 {aw{1'b0}};
398
        else if (ce)
399
                addr_reg <= #1 addr;
400
 
401
//
402
// RAM write
403
//
404
always @(posedge clk)
405
        if (ce && we)
406
                mem[addr] <= #1 di;
407
 
408
`endif  // !OR1200_ALTERA_LPM
409
`endif  // !OR1200_XILINX_RAMB16
410
`endif  // !OR1200_XILINX_RAMB4
411
`endif  // !OR1200_VIRTUALSILICON_SSP
412
`endif  // !OR1200_VIRAGE_SSP
413
`endif  // !OR1200_AVANT_ATP
414
`endif  // !OR1200_ARTISAN_SSP
415
 
416
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.