OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x22.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB16                                      ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: not supported by cvs2svn $
67
// Revision 1.8  2004/06/08 18:15:32  lampret
68
// Changed behavior of the simulation generic models
69
//
70
// Revision 1.7  2004/04/05 08:29:57  lampret
71
// Merged branch_qmem into main tree.
72
//
73
// Revision 1.3.4.1  2003/12/09 11:46:48  simons
74
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
75
//
76
// Revision 1.3  2003/04/07 01:19:07  lampret
77
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
78
//
79
// Revision 1.2  2002/10/17 20:04:41  lampret
80
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
81
//
82
// Revision 1.1  2002/01/03 08:16:15  lampret
83
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
84
//
85
// Revision 1.7  2001/11/02 18:57:14  lampret
86
// Modified virtual silicon instantiations.
87
//
88
// Revision 1.6  2001/10/21 17:57:16  lampret
89
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
90
//
91
// Revision 1.5  2001/10/14 13:12:09  lampret
92
// MP3 version.
93
//
94
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
95
// no message
96
//
97
// Revision 1.1  2001/08/09 13:39:33  lampret
98
// Major clean-up.
99
//
100
// Revision 1.2  2001/07/30 05:38:02  lampret
101
// Adding empty directories required by HDL coding guidelines
102
//
103
//
104
 
105
// synopsys translate_off
106
`include "timescale.v"
107
// synopsys translate_on
108
`include "or1200_defines.v"
109
 
110
module or1200_spram_64x22(
111
`ifdef OR1200_BIST
112
        // RAM BIST
113
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
114
`endif
115
        // Generic synchronous single-port RAM interface
116
        clk, rst, ce, we, oe, addr, di, doq
117
);
118
 
119
//
120
// Default address and data buses width
121
//
122
parameter aw = 6;
123
parameter dw = 22;
124
 
125
`ifdef OR1200_BIST
126
//
127
// RAM BIST
128
//
129
input mbist_si_i;
130
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
131
output mbist_so_o;
132
`endif
133
 
134
//
135
// Generic synchronous single-port RAM interface
136
//
137
input                   clk;    // Clock
138
input                   rst;    // Reset
139
input                   ce;     // Chip enable input
140
input                   we;     // Write enable input
141
input                   oe;     // Output enable input
142
input   [aw-1:0] addr;   // address bus inputs
143
input   [dw-1:0] di;     // input data bus
144
output  [dw-1:0] doq;    // output data bus
145
 
146
//
147
// Internal wires and registers
148
//
149
`ifdef OR1200_XILINX_RAMB4
150
wire    [9:0]            unconnected;
151
`else
152
`ifdef OR1200_XILINX_RAMB16
153
wire    [9:0]            unconnected;
154
`endif // !OR1200_XILINX_RAMB16
155
`endif // !OR1200_XILINX_RAMB4
156
 
157
 
158
`ifdef OR1200_ARTISAN_SSP
159
`else
160
`ifdef OR1200_VIRTUALSILICON_SSP
161
`else
162
`ifdef OR1200_BIST
163
assign mbist_so_o = mbist_si_i;
164
`endif
165
`endif
166
`endif
167
 
168
`ifdef OR1200_ARTISAN_SSP
169
 
170
//
171
// Instantiation of ASIC memory:
172
//
173
// Artisan Synchronous Single-Port RAM (ra1sh)
174
//
175
`ifdef UNUSED
176
art_hssp_64x22 #(dw, 1<<aw, aw) artisan_ssp(
177
`else
178
`ifdef OR1200_BIST
179
art_hssp_64x22_bist artisan_ssp(
180
`else
181
art_hssp_64x22 artisan_ssp(
182
`endif
183
`endif
184
`ifdef OR1200_BIST
185
        // RAM BIST
186
        .mbist_si_i(mbist_si_i),
187
        .mbist_so_o(mbist_so_o),
188
        .mbist_ctrl_i(mbist_ctrl_i),
189
`endif
190
        .CLK(clk),
191
        .CEN(~ce),
192
        .WEN(~we),
193
        .A(addr),
194
        .D(di),
195
        .OEN(~oe),
196
        .Q(doq)
197
);
198
 
199
`else
200
 
201
`ifdef OR1200_AVANT_ATP
202
 
203
//
204
// Instantiation of ASIC memory:
205
//
206
// Avant! Asynchronous Two-Port RAM
207
//
208
avant_atp avant_atp(
209
        .web(~we),
210
        .reb(),
211
        .oeb(~oe),
212
        .rcsb(),
213
        .wcsb(),
214
        .ra(addr),
215
        .wa(addr),
216
        .di(di),
217
        .doq(doq)
218
);
219
 
220
`else
221
 
222
`ifdef OR1200_VIRAGE_SSP
223
 
224
//
225
// Instantiation of ASIC memory:
226
//
227
// Virage Synchronous 1-port R/W RAM
228
//
229
virage_ssp virage_ssp(
230
        .clk(clk),
231
        .adr(addr),
232
        .d(di),
233
        .we(we),
234
        .oe(oe),
235
        .me(ce),
236
        .q(doq)
237
);
238
 
239
`else
240
 
241
`ifdef OR1200_VIRTUALSILICON_SSP
242
 
243
//
244
// Instantiation of ASIC memory:
245
//
246
// Virtual Silicon Single-Port Synchronous SRAM
247
//
248
`ifdef UNUSED
249
vs_hdsp_64x22 #(1<<aw, aw-1, dw-1) vs_ssp(
250
`else
251
`ifdef OR1200_BIST
252
vs_hdsp_64x22_bist vs_ssp(
253
`else
254
vs_hdsp_64x22 vs_ssp(
255
`endif
256
`endif
257
`ifdef OR1200_BIST
258
        // RAM BIST
259
        .mbist_si_i(mbist_si_i),
260
        .mbist_so_o(mbist_so_o),
261
        .mbist_ctrl_i(mbist_ctrl_i),
262
`endif
263
        .CK(clk),
264
        .ADR(addr),
265
        .DI(di),
266
        .WEN(~we),
267
        .CEN(~ce),
268
        .OEN(~oe),
269
        .DOUT(doq)
270
);
271
 
272
`else
273
 
274
`ifdef OR1200_XILINX_RAMB4
275
 
276
//
277
// Instantiation of FPGA memory:
278
//
279
// Virtex/Spartan2
280
//
281
 
282
//
283
// Block 0
284
//
285
RAMB4_S16 ramb4_s16_0(
286
        .CLK(clk),
287
        .RST(rst),
288
        .ADDR({2'b00, addr}),
289
        .DI(di[15:0]),
290
        .EN(ce),
291
        .WE(we),
292
        .DO(doq[15:0])
293
);
294
 
295
//
296
// Block 1
297
//
298
RAMB4_S16 ramb4_s16_1(
299
        .CLK(clk),
300
        .RST(rst),
301
        .ADDR({2'b00, addr}),
302
        .DI({10'b0000000000, di[21:16]}),
303
        .EN(ce),
304
        .WE(we),
305
        .DO({unconnected, doq[21:16]})
306
);
307
 
308
`else
309
 
310
`ifdef OR1200_XILINX_RAMB16
311
 
312
//
313
// Instantiation of FPGA memory:
314
//
315
// Virtex4/Spartan3E
316
//
317
// Added By Nir Mor
318
//
319
 
320
RAMB16_S36 ramb16_s36(
321
        .CLK(clk),
322
        .SSR(rst),
323
        .ADDR({3'b000, addr}),
324
        .DI({10'b0000000000,di}),
325
        .DIP(4'h0),
326
        .EN(ce),
327
        .WE(we),
328
        .DO({unconnected, doq}),
329
        .DOP()
330
);
331
 
332
`else
333
 
334
`ifdef OR1200_ALTERA_LPM
335
 
336
//
337
// Instantiation of FPGA memory:
338
//
339
// Altera LPM
340
//
341
// Added By Jamil Khatib
342
//
343
 
344
wire    wr;
345
 
346
assign  wr = ce & we;
347
 
348
initial $display("Using Altera LPM.");
349
 
350
lpm_ram_dq lpm_ram_dq_component (
351
        .address(addr),
352
        .inclock(clk),
353
        .outclock(clk),
354
        .data(di),
355
        .we(wr),
356
        .q(doq)
357
);
358
 
359
defparam lpm_ram_dq_component.lpm_width = dw,
360
        lpm_ram_dq_component.lpm_widthad = aw,
361
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
362
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
363
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
364
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
365
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
366
 
367
`else
368
 
369
//
370
// Generic single-port synchronous RAM model
371
//
372
 
373
//
374
// Generic RAM's registers and wires
375
//
376
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
377
reg     [aw-1:0] addr_reg;               // RAM address register
378
 
379
//
380
// Data output drivers
381
//
382
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
383
 
384
//
385
// RAM address register
386
//
387
always @(posedge clk or posedge rst)
388
        if (rst)
389
                addr_reg <= #1 {aw{1'b0}};
390
        else if (ce)
391
                addr_reg <= #1 addr;
392
 
393
//
394
// RAM write
395
//
396
always @(posedge clk)
397
        if (ce && we)
398
                mem[addr] <= #1 di;
399
 
400
`endif  // !OR1200_ALTERA_LPM
401
`endif  // !OR1200_XILINX_RAMB16
402
`endif  // !OR1200_XILINX_RAMB4
403
`endif  // !OR1200_VIRTUALSILICON_SSP
404
`endif  // !OR1200_VIRAGE_SSP
405
`endif  // !OR1200_AVANT_ATP
406
`endif  // !OR1200_ARTISAN_SSP
407
 
408
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.