OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_tt.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Tick Timer                                         ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  TT according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.4  2002/03/29 15:16:56  lampret
48
// Some of the warnings fixed.
49
//
50
// Revision 1.3  2002/02/12 01:33:47  lampret
51
// No longer using async rst as sync reset for the counter.
52
//
53
// Revision 1.2  2002/01/28 01:16:00  lampret
54
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
55
//
56
// Revision 1.1  2002/01/03 08:16:15  lampret
57
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
58
//
59
// Revision 1.10  2001/11/13 10:00:49  lampret
60
// Fixed tick timer interrupt reporting by using TTCR[IP] bit.
61
//
62
// Revision 1.9  2001/11/10 03:43:57  lampret
63
// Fixed exceptions.
64
//
65
// Revision 1.8  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.7  2001/10/14 13:12:10  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
72
// no message
73
//
74
// Revision 1.2  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
// Revision 1.1  2001/07/20 00:46:23  lampret
78
// Development version of RTL. Libraries are missing.
79
//
80
//
81
 
82
// synopsys translate_off
83
`include "timescale.v"
84
// synopsys translate_on
85
`include "or1200_defines.v"
86
 
87
module or1200_tt(
88
        // RISC Internal Interface
89
        clk, rst, du_stall,
90
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
91
        intr
92
);
93
 
94
//
95
// RISC Internal Interface
96
//
97
input           clk;            // Clock
98
input           rst;            // Reset
99
input           du_stall;       // DU stall
100
input           spr_cs;         // SPR CS
101
input           spr_write;      // SPR Write
102
input   [31:0]   spr_addr;       // SPR Address
103
input   [31:0]   spr_dat_i;      // SPR Write Data
104
output  [31:0]   spr_dat_o;      // SPR Read Data
105
output          intr;           // Interrupt output
106
 
107
`ifdef OR1200_TT_IMPLEMENTED
108
 
109
//
110
// TT Mode Register bits (or no register)
111
//
112
`ifdef OR1200_TT_TTMR
113
reg     [31:0]   ttmr;   // TTMR bits
114
`else
115
wire    [31:0]   ttmr;   // No TTMR register
116
`endif
117
 
118
//
119
// TT Count Register bits (or no register)
120
//
121
`ifdef OR1200_TT_TTCR
122
reg     [31:0]   ttcr;   // TTCR bits
123
`else
124
wire    [31:0]   ttcr;   // No TTCR register
125
`endif
126
 
127
//
128
// Internal wires & regs
129
//
130
wire            ttmr_sel;       // TTMR select
131
wire            ttcr_sel;       // TTCR select
132
wire            match;          // Asserted when TTMR[TP]
133
                                // is equal to TTCR[27:0]
134
wire            restart;        // Restart counter when asserted
135
wire            stop;           // Stop counter when asserted
136
reg     [31:0]   spr_dat_o;      // SPR data out
137
 
138
//
139
// TT registers address decoder
140
//
141
assign ttmr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTMR)) ? 1'b1 : 1'b0;
142
assign ttcr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTCR)) ? 1'b1 : 1'b0;
143
 
144
//
145
// Write to TTMR or update of TTMR[IP] bit
146
//
147
`ifdef OR1200_TT_TTMR
148
always @(posedge clk or posedge rst)
149
        if (rst)
150
                ttmr <= 32'b0;
151
        else if (ttmr_sel && spr_write)
152
                ttmr <= #1 spr_dat_i;
153
        else if (ttmr[`OR1200_TT_TTMR_IE])
154
                ttmr[`OR1200_TT_TTMR_IP] <= #1 ttmr[`OR1200_TT_TTMR_IP] | (match & ttmr[`OR1200_TT_TTMR_IE]);
155
`else
156
assign ttmr = {2'b11, 30'b0};    // TTMR[M] = 0x3
157
`endif
158
 
159
//
160
// Write to or increment of TTCR
161
//
162
`ifdef OR1200_TT_TTCR
163
always @(posedge clk or posedge rst)
164
        if (rst)
165
                ttcr <= 32'b0;
166
        else if (restart)
167
                ttcr <= #1 32'b0;
168
        else if (ttcr_sel && spr_write)
169
                ttcr <= #1 spr_dat_i;
170
        else if (!stop)
171
                ttcr <= #1 ttcr + 32'd1;
172
`else
173
assign ttcr = 32'b0;
174
`endif
175
 
176
//
177
// Read TT registers
178
//
179
always @(spr_addr or ttmr or ttcr)
180
        case (spr_addr[`OR1200_TTOFS_BITS])     // synopsys parallel_case
181
`ifdef OR1200_TT_READREGS
182
                `OR1200_TT_OFS_TTMR: spr_dat_o = ttmr;
183
`endif
184
                default: spr_dat_o = ttcr;
185
        endcase
186
 
187
//
188
// A match when TTMR[TP] is equal to TTCR[27:0]
189
//
190
assign match = (ttmr[`OR1200_TT_TTMR_TP] == ttcr[27:0]) ? 1'b1 : 1'b0;
191
 
192
//
193
// Restart when match and TTMR[M]==0x1
194
//
195
assign restart = match && (ttmr[`OR1200_TT_TTMR_M] == 2'b01);
196
 
197
//
198
// Stop when match and TTMR[M]==0x2 or when TTMR[M]==0x0 or when RISC is stalled by debug unit
199
//
200
assign stop = match & (ttmr[`OR1200_TT_TTMR_M] == 2'b10) | (ttmr[`OR1200_TT_TTMR_M] == 2'b00) | du_stall;
201
 
202
//
203
// Generate an interrupt request
204
//
205
assign intr = ttmr[`OR1200_TT_TTMR_IP];
206
 
207
`else
208
 
209
//
210
// When TT is not implemented, drive all outputs as would when TT is disabled
211
//
212
assign intr = 1'b0;
213
 
214
//
215
// Read TT registers
216
//
217
`ifdef OR1200_TT_READREGS
218
assign spr_dat_o = 32'b0;
219
`endif
220
 
221
`endif
222
 
223
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.