OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_wbmux.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Write-back Mux                                     ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  CPU's write-back stage of the pipeline                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.2  2002/03/29 15:16:56  lampret
48
// Some of the warnings fixed.
49
//
50
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53
// Revision 1.8  2001/10/21 17:57:16  lampret
54
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
55
//
56
// Revision 1.7  2001/10/14 13:12:10  lampret
57
// MP3 version.
58
//
59
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
60
// no message
61
//
62
// Revision 1.2  2001/08/09 13:39:33  lampret
63
// Major clean-up.
64
//
65
// Revision 1.1  2001/07/20 00:46:23  lampret
66
// Development version of RTL. Libraries are missing.
67
//
68
//
69
 
70
// synopsys translate_off
71
`include "timescale.v"
72
// synopsys translate_on
73
`include "or1200_defines.v"
74
 
75
module or1200_wbmux(
76
        // Clock and reset
77
        clk, rst,
78
 
79
        // Internal i/f
80
        wb_freeze, rfwb_op,
81
        muxin_a, muxin_b, muxin_c, muxin_d,
82
        muxout, muxreg, muxreg_valid
83
);
84
 
85
parameter width = `OR1200_OPERAND_WIDTH;
86
 
87
//
88
// I/O
89
//
90
 
91
//
92
// Clock and reset
93
//
94
input                           clk;
95
input                           rst;
96
 
97
//
98
// Internal i/f
99
//
100
input                           wb_freeze;
101
input   [`OR1200_RFWBOP_WIDTH-1:0]       rfwb_op;
102
input   [width-1:0]              muxin_a;
103
input   [width-1:0]              muxin_b;
104
input   [width-1:0]              muxin_c;
105
input   [width-1:0]              muxin_d;
106
output  [width-1:0]              muxout;
107
output  [width-1:0]              muxreg;
108
output                          muxreg_valid;
109
 
110
//
111
// Internal wires and regs
112
//
113
reg     [width-1:0]              muxout;
114
reg     [width-1:0]              muxreg;
115
reg                             muxreg_valid;
116
 
117
//
118
// Registered output from the write-back multiplexer
119
//
120
always @(posedge clk or posedge rst) begin
121
        if (rst) begin
122
                muxreg <= #1 32'd0;
123
                muxreg_valid <= #1 1'b0;
124
        end
125
        else if (!wb_freeze) begin
126
                muxreg <= #1 muxout;
127
                muxreg_valid <= #1 rfwb_op[0];
128
        end
129
end
130
 
131
//
132
// Write-back multiplexer
133
//
134
always @(muxin_a or muxin_b or muxin_c or muxin_d or rfwb_op) begin
135
`ifdef OR1200_ADDITIONAL_SYNOPSYS_DIRECTIVES
136
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case infer_mux
137
`else
138
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case
139
`endif
140
                2'b00: muxout = muxin_a;
141
                2'b01: begin
142
                        muxout = muxin_b;
143
`ifdef OR1200_VERBOSE
144
// synopsys translate_off
145
                        $display("  WBMUX: muxin_b %h", muxin_b);
146
// synopsys translate_on
147
`endif
148
                end
149
                2'b10: begin
150
                        muxout = muxin_c;
151
`ifdef OR1200_VERBOSE
152
// synopsys translate_off
153
                        $display("  WBMUX: muxin_c %h", muxin_c);
154
// synopsys translate_on
155
`endif
156
                end
157
                2'b11: begin
158
                        muxout = muxin_d + 32'h8;
159
`ifdef OR1200_VERBOSE
160
// synopsys translate_off
161
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
162
// synopsys translate_on
163
`endif
164
                end
165
        endcase
166
end
167
 
168
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.