OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [ml501/] [bench/] [verilog/] [include/] [eth_stim.v] - Blame information for rev 485

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 julius
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3 412 julius
////  Ethernet MAC Stimulus                                       ////
4 44 julius
////                                                              ////
5
////  Description                                                 ////
6
////  Ethernet MAC stimulus tasks. Taken from the project         ////
7
////  testbench in the ethmac core.                               ////
8
////                                                              ////
9
////  To Do:                                                      ////
10
////                                                              ////
11
////                                                              ////
12
////  Author(s):                                                  ////
13
////      - Tadej Markovic, tadej@opencores.org                   ////
14
////      - Igor Mohor,     igorM@opencores.org                   ////
15 412 julius
////      - Julius Baxter   julius.baxter@orsoc.se                ////
16 44 julius
////                                                              ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44 412 julius
`define TIME $display("Time: %0t", $time)
45 44 julius
 
46 412 julius
// Defines for ethernet test to trigger sending/receiving
47
// Is straight forward when using RTL design, but if using netlist then paths to
48
// the RX/TX enabled bits depend on synthesis tool, etc, but ones here appear to
49
// work with design put through Synplify, with hierarchy maintained.
50
`define ETH_TOP dut.ethmac0
51
`define ETH_BD_RAM_PATH `ETH_TOP.wishbone.bd_ram
52
`define ETH_MODER_PATH `ETH_TOP.ethreg1.MODER_0
53 44 julius
 
54 412 julius
`ifdef RTL_SIM
55
 `ifdef ethmac_IS_GATELEVEL
56
  `define ETH_MODER_TXEN_BIT `ETH_MODER_PATH.r_TxEn;
57
  `define ETH_MODER_RXEN_BIT `ETH_MODER_PATH.r_RxEn;
58
 `else
59
  `define ETH_MODER_TXEN_BIT `ETH_MODER_PATH.DataOut[1];
60
  `define ETH_MODER_RXEN_BIT `ETH_MODER_PATH.DataOut[0];
61
 `endif
62
`endif
63
 
64
`ifdef GATE_SIM
65
 `define ETH_MODER_TXEN_BIT `ETH_MODER_PATH.r_TxEn;
66
 `define ETH_MODER_RXEN_BIT `ETH_MODER_PATH.r_RxEn;
67
`endif
68
 
69
reg [15:0] eth_stim_rx_packet_length;
70 44 julius
reg [7:0] st_data;
71 412 julius
reg [31:0] lfsr;
72
integer lfsr_last_byte;
73
 
74
// Is number of ethernet packets to send if doing the eth-rx test.
75 480 julius
parameter eth_stim_num_rx_only_num_packets = 12; // Set to 0 for continuous RX
76
parameter eth_stim_num_rx_only_packet_size = 60;
77 412 julius
parameter eth_stim_num_rx_only_packet_size_change = 2'b01;  // 2'b01: Increment
78 480 julius
parameter eth_stim_num_rx_only_packet_size_change_amount = 127;
79 485 julius
parameter eth_stim_num_rx_only_IPG = 800_000; // ps
80 412 julius
 
81
// Do call/response test
82
reg eth_stim_do_rx_reponse_to_tx;
83
 
84
 
85
parameter num_tx_bds = 16;
86
parameter num_tx_bds_mask = 4'hf;
87
parameter num_rx_bds = 16;
88
parameter num_rx_bds_mask = 4'hf;
89
parameter max_eth_packet_size = 16'h0600;
90
 
91
// If running eth-rxtxbig test (sending and receiving maximum packets), then
92
// set this parameter to the max packet size, otherwise min packet size
93
//parameter rx_while_tx_min_packet_size = max_eth_packet_size;
94
parameter rx_while_tx_min_packet_size = 32;
95
 
96
// Use the smallest possible IPG
97
parameter eth_stim_use_min_IPG = 0;
98 485 julius
parameter eth_stim_IPG_delay_max = 100_000_000; // Maximum 100 us
99 412 julius
//parameter eth_stim_IPG_delay_max = 100_000_000; // Maximum 100mS between packets
100 480 julius
parameter eth_stim_IPG_min_10mb = 9600_000; // 9.6 uS
101
parameter eth_stim_IPG_min_100mb = 800_000; // 860+~100 = 960 nS 100MBit min IPG
102 412 julius
parameter eth_stim_check_rx_packet_contents = 1;
103
parameter eth_stim_check_tx_packet_contents = 1;
104
 
105
parameter eth_inject_errors = 0;
106
 
107
// When running simulations where you don't want to feed packets to the design
108
// like this...
109
parameter eth_stim_disable_rx_stim = 0;
110
 
111
// Delay between seeing that the buffer descriptor for an RX packet says it's
112
// been received and ending up in the memory.
113
// For 25MHz sdram controller, use following:
114
//parameter  Td_rx_packet_check = (`BOARD_CLOCK_PERIOD * 2000);
115
// For 64MHz sdram controller, use following:
116
parameter  Td_rx_packet_check = (`BOARD_CLOCK_PERIOD * 500);
117
 
118
integer expected_rxbd;// init to 0
119
integer expected_txbd;
120
 
121
wire ethmac_rxen;
122
wire ethmac_txen;
123
assign ethmac_rxen = eth_stim_disable_rx_stim ? 0 : `ETH_MODER_RXEN_BIT;
124
assign ethmac_txen = `ETH_MODER_TXEN_BIT;
125
 
126
integer eth_rx_num_packets_sent = 0;
127
integer eth_rx_num_packets_checked = 0;
128
integer num_tx_packets = 1;
129
 
130
integer rx_packet_lengths [0:1023]; // Array of packet lengths
131
 
132
 
133
integer speed_loop;
134
 
135
// When txen is (re)enabled, the tx bd pointer goes back to 0
136
always @(posedge ethmac_txen)
137
  expected_txbd = 0;
138
 
139
   reg  eth_stim_waiting;
140
 
141 44 julius
initial
142
  begin
143 412 julius
     #1;
144
     //lfsr = 32'h84218421; // Init pseudo lfsr
145
     lfsr = 32'h00700001; // Init pseudo lfsr
146
     lfsr_last_byte = 0;
147
 
148
     eth_stim_waiting = 1;
149
     expected_rxbd = num_tx_bds; // init this here
150 44 julius
 
151 412 julius
     eth_stim_do_rx_reponse_to_tx = 0;
152 44 julius
 
153
 
154 412 julius
     while (eth_stim_waiting) // Loop, waiting for enabling of MAC by software
155
       begin
156
          #100;
157
          // If RX enable and not TX enable...
158
          if(ethmac_rxen === 1'b1 & !(ethmac_txen===1'b1))
159
            begin
160
               if (eth_inject_errors)
161
                 begin
162
                    do_rx_only_stim(16, 64, 0, 0);
163
                    do_rx_only_stim(128, 64, 1'b1, 8);
164
                    do_rx_only_stim(256, 64, 1'b1, 4);
165
                    eth_stim_waiting = 0;
166
                 end
167
               else
168
                 begin
169
                    //do_rx_only_stim(eth_stim_num_rx_only_num_packets, 
170
                    //eth_stim_num_rx_only_packet_size, 0, 0);
171 44 julius
 
172 412 julius
                    // Call packet send loop directly. No error injection.
173
                    send_packet_loop(eth_stim_num_rx_only_num_packets,
174
                                     eth_stim_num_rx_only_packet_size,
175
                                     eth_stim_num_rx_only_packet_size_change,
176
                                     eth_stim_num_rx_only_packet_size_change_amount,
177
                                     eth_phy0.eth_speed,     // Speed
178
                                     eth_stim_num_rx_only_IPG, // IPG
179
                               48'h0012_3456_789a, 48'h0708_090A_0B0C, 1,
180
                               0, 0);
181
 
182
                    eth_stim_waiting = 0;
183
                 end
184
            end // if (ethmac_rxen === 1'b1 & !(ethmac_txen===1'b1))
185
          // If both RX and TX enabled
186
          else if (ethmac_rxen === 1'b1 & ethmac_txen===1'b1)
187
            begin
188
               // Both enabled - let's wait for the first packet transmitted
189
               // to see what stimulus we should provide
190
               while (num_tx_packets==1)
191
                 #1000;
192
 
193
               $display("* ethmac RX/TX test request: %x", eth_phy0.tx_mem[0]);
194
 
195
               // Check the first received byte's value
196
                 case (eth_phy0.tx_mem[0])
197
                   0:
198
                     begin
199
                        // kickoff call/response here
200
                        eth_stim_do_rx_reponse_to_tx = 1;
201
                     end
202
                   default:
203
                     begin
204
                        do_rx_while_tx_stim(1400);
205
                     end
206
                 endcase // case (eth_phy0.tx_mem[0])
207
 
208
               eth_stim_waiting = 0;
209
            end
210
       end // while (eth_stim_waiting)     
211 49 julius
 
212 412 julius
  end // initial begin
213 49 julius
 
214 412 julius
   // Main Ethernet RX testing stimulus task.
215
   // Sends a set of packets at both speeds
216
   task do_rx_only_stim;
217
      input [31:0] num_packets;
218
      input [31:0] start_packet_size;
219
      input        inject_errors;
220
      input [31:0] inject_errors_mod;
221
 
222
      begin
223
 
224
         for(speed_loop=1;speed_loop<3;speed_loop=speed_loop+1)
225
           begin
226
 
227
              send_packet_loop(num_packets, start_packet_size, 2'b01, 1,
228
                               speed_loop[0], 10000,
229
                               48'h0012_3456_789a, 48'h0708_090A_0B0C, 1,
230
                               inject_errors, inject_errors_mod);
231
 
232
           end
233
 
234
      end
235
   endtask // do_rx_stim
236 44 julius
 
237 412 julius
   // Generate RX packets while there's TX going on
238
   // Sends a set of packets at both speeds
239
   task do_rx_while_tx_stim;
240
      input [31:0] num_packets;
241
      reg [31:0] IPG; // Inter-packet gap
242
      reg [31:0] packet_size;
243 44 julius
 
244 412 julius
      integer    j;
245
      begin
246
 
247
         for(j=0;j<num_packets;j=j+1)
248
           begin
249
              // Determine delay between RX packets:
250
 
251
              if (eth_stim_use_min_IPG)
252
                begin
253
                   // Assign based on whether we're in 100mbit or 10mbit mode
254
                   IPG = eth_phy0.eth_speed ? eth_stim_IPG_min_100mb :
255
                         eth_stim_IPG_min_10mb;
256
                   // Add a little bit of variability
257
                   // Add up to 15
258
                   IPG = IPG + ($random & 32'h000000f);
259
                end
260
              else
261
                begin
262
                   IPG = $random;
263
 
264
                   while (IPG > eth_stim_IPG_delay_max)
265
                     IPG = IPG / 2;
266
 
267
 
268
                end
269
              $display("do_rx_while_tx IPG = %0d", IPG);
270
              // Determine size of next packet:
271
              if (rx_while_tx_min_packet_size == max_eth_packet_size)
272
                // We want to transmit biggest packets possible, easy case
273
                packet_size = max_eth_packet_size - 4;
274
              else
275
                begin
276
                   // Constrained random sized packets
277
                   packet_size = $random;
278
 
279
                   while (packet_size > (max_eth_packet_size-4))
280
                     packet_size = packet_size / 2;
281
 
282
                   // Now divide by least significant bits of j
283
                   packet_size = packet_size / {29'd0,j[1:0],1'b1};
284
                   if (packet_size < 60)
285
                     packet_size = packet_size + 60;
286
                end
287
 
288
              $display("do_rx_while_tx packet_size = %0d", packet_size);
289
              send_packet_loop(1, packet_size, 2'b01, 1, eth_phy0.eth_speed,
290
                               IPG, 48'h0012_3456_789a,
291
                               48'h0708_090A_0B0C, 1, 1'b0, 0);
292 44 julius
 
293 412 julius
              // If RX enable went low, wait for it go high again
294
              if (ethmac_rxen===1'b0)
295
                begin
296
 
297
                   while (ethmac_rxen===1'b0)
298
                     begin
299
                        @(posedge ethmac_rxen);
300
                        #10000;
301
                     end
302
 
303
                   // RX disabled and when re-enabled we reset the buffer descriptor number
304
                   expected_rxbd = num_tx_bds;
305 44 julius
 
306 412 julius
                end
307
 
308
           end // for (j=0;j<num_packets;j=j+1)
309
      end
310
   endtask // do_rx_stim
311 44 julius
 
312 412 julius
   // Registers used in detecting transmitted packets
313
   reg eth_stim_tx_loop_keep_polling;
314
   reg [31:0] ethmac_txbd_lenstat, ethmac_last_txbd_lenstat;
315
   reg        eth_stim_detected_packet_tx;
316 44 julius
 
317 412 julius
   // If in call-response mode, whenever we receive a TX packet, we generate
318
   // one and send it back
319
   always @(negedge eth_stim_detected_packet_tx)
320
     begin
321
        if (eth_stim_do_rx_reponse_to_tx & ethmac_rxen)
322
          // Continue if we are enabled
323
          do_rx_response_to_tx();
324
     end
325
 
326
   // Generate RX packet in rsponse to TX packet
327
   task do_rx_response_to_tx;
328
      //input unused;
329
 
330
     reg [31:0] IPG; // Inter-packet gap
331
      reg [31:0] packet_size;
332
 
333
      integer    j;
334
      begin
335 44 julius
 
336 412 julius
         // Get packet size test wants us to send
337
         packet_size = {eth_phy0.tx_mem[0],eth_phy0.tx_mem[1],
338
                        eth_phy0.tx_mem[2],eth_phy0.tx_mem[3]};
339
 
340 44 julius
 
341 412 julius
         IPG = {eth_phy0.tx_mem[4],eth_phy0.tx_mem[5],
342
                eth_phy0.tx_mem[6],eth_phy0.tx_mem[7]};
343
 
344
 
345
         $display("do_rx_response_to_tx IPG = %0d", IPG);
346
         if (packet_size == 0)
347
           begin
348
              // Constrained random sized packets
349
              packet_size = $random;
350
 
351
              while (packet_size > (max_eth_packet_size-4))
352
                packet_size = packet_size / 2;
353
 
354
              if (packet_size < 60)
355
                packet_size = packet_size + 60;
356
           end
357
 
358
         $display("do_rx_response_to_tx packet_size = %0d", packet_size);
359
         send_packet_loop(1, packet_size, 2'b01, 1, eth_phy0.eth_speed,
360
                          IPG, 48'h0012_3456_789a,
361
                          48'h0708_090A_0B0C, 1, 1'b0, 0);
362
 
363
         // If RX enable went low, wait for it go high again
364
         if (ethmac_rxen===1'b0)
365
           begin
366
 
367
              while (ethmac_rxen===1'b0)
368
                begin
369
                   @(posedge ethmac_rxen);
370
                   #10000;
371
                end
372
 
373
              // RX disabled and when re-enabled we reset the buffer 
374
              // descriptor number
375
              expected_rxbd = num_tx_bds;
376 44 julius
 
377 412 julius
           end
378 44 julius
 
379 412 julius
      end
380
   endtask // do_rx_response_to_tx
381
 
382
 
383
 
384
 
385
 
386
   //
387
   // always@() to check the TX buffer descriptors
388
   //
389
   always @(posedge ethmac_txen)
390
     begin
391
         ethmac_last_txbd_lenstat = 0;
392
         eth_stim_tx_loop_keep_polling=1;
393
         // Wait on the TxBD Ready bit
394
         while(eth_stim_tx_loop_keep_polling)
395
           begin
396
              #10;
397
              get_bd_lenstat(expected_txbd, ethmac_txbd_lenstat);
398
              // Check if we've finished transmitting this BD
399
              if (!ethmac_txbd_lenstat[15] & ethmac_last_txbd_lenstat[15])
400
                // Falling edge of TX BD Ready
401
                eth_stim_detected_packet_tx = 1;
402
 
403
              ethmac_last_txbd_lenstat = ethmac_txbd_lenstat;
404
 
405
              // If TX en goes low then exit
406
              if (!ethmac_txen)
407
                eth_stim_tx_loop_keep_polling = 0;
408
              else if (eth_stim_detected_packet_tx)
409
                begin
410
                   // Wait until the eth_phy has finished receiving it
411
                   while (eth_phy0.mtxen_i === 1'b1)
412
                     #10;
413
 
414
                   $display("(%t) Check TX packet: bd %d: 0x%h",$time,
415
                            expected_txbd, ethmac_txbd_lenstat);
416
 
417
                   // Check the TXBD, see if the packet transmitted OK
418
                   if (ethmac_txbd_lenstat[8] | ethmac_txbd_lenstat[3])
419
                     begin
420
                        // Error occured
421
                        `TIME;
422
                        $display("*E TX Error of packet %0d detected.",
423
                                 num_tx_packets);
424
                        $display(" TX BD %0d = 0x%h", expected_txbd,
425
                                 ethmac_txbd_lenstat);
426
                        if (ethmac_txbd_lenstat[8])
427
                          $display(" Underrun in MAC during TX");
428
                        if (ethmac_txbd_lenstat[3])
429
                          $display(" Retransmission limit hit");
430
 
431
                        $finish;
432
                     end
433
                   else
434
                     begin
435
                        // Packet was OK, let's compare the contents we 
436
                        // received with those that were meant to be transmitted
437
                        if (eth_stim_check_tx_packet_contents)
438
                          begin
439
                             check_tx_packet(expected_txbd);
440
                             expected_txbd = (expected_txbd + 1) &
441
                                             num_tx_bds_mask;
442
                             num_tx_packets = num_tx_packets + 1;
443
                             eth_stim_detected_packet_tx = 0;
444
                          end
445
                     end
446
                end
447
           end // while (eth_stim_tx_loop_keep_polling)
448
     end // always @ (posedge ethmac_txen)
449
 
450
 
451
 
452 415 julius
 
453
`ifdef XILINX_DDR2
454
   // Gets word from correct bank
455
   task get_32bitword_from_xilinx_ddr2;
456
      input [31:0] addr;
457
      output [31:0] insn;
458
      reg [16*8-1:0] ddr2_array_line0,ddr2_array_line1,ddr2_array_line2,
459
                     ddr2_array_line3;
460
      integer        word_in_line_num;
461
      begin
462
        // Get our 4 128-bit chunks (8 half-words in each!! Confused yet?), 
463
        // 16 words total
464
         gen_cs[0].gen[0].u_mem0.memory_read(addr[28:27],addr[26:13],
465
                                             {addr[12:6],3'd0},
466
                                             ddr2_array_line0);
467
         gen_cs[0].gen[1].u_mem0.memory_read(addr[28:27],addr[26:13],
468
                                             {addr[12:6],3'd0},
469
                                             ddr2_array_line1);
470
         gen_cs[0].gen[2].u_mem0.memory_read(addr[28:27],addr[26:13],
471
                                             {addr[12:6],3'd0},
472
                                             ddr2_array_line2);
473
         gen_cs[0].gen[3].u_mem0.memory_read(addr[28:27],addr[26:13],
474
                                             {addr[12:6],3'd0},
475
                                             ddr2_array_line3);
476
         case (addr[5:2])
477
           4'h0:
478
             begin
479
                insn[15:0] = ddr2_array_line0[15:0];
480
                insn[31:16] = ddr2_array_line1[15:0];
481
             end
482
           4'h1:
483
             begin
484
                insn[15:0] = ddr2_array_line2[15:0];
485
                insn[31:16] = ddr2_array_line3[15:0];
486
             end
487
           4'h2:
488
             begin
489
                insn[15:0] = ddr2_array_line0[31:16];
490
                insn[31:16] = ddr2_array_line1[31:16];
491
             end
492
           4'h3:
493
             begin
494
                insn[15:0] = ddr2_array_line2[31:16];
495
                insn[31:16] = ddr2_array_line3[31:16];
496
             end
497
           4'h4:
498
             begin
499
                insn[15:0] = ddr2_array_line0[47:32];
500
                insn[31:16] = ddr2_array_line1[47:32];
501
             end
502
           4'h5:
503
             begin
504
                insn[15:0] = ddr2_array_line2[47:32];
505
                insn[31:16] = ddr2_array_line3[47:32];
506
             end
507
           4'h6:
508
             begin
509
                insn[15:0] = ddr2_array_line0[63:48];
510
                insn[31:16] = ddr2_array_line1[63:48];
511
             end
512
           4'h7:
513
             begin
514
                insn[15:0] = ddr2_array_line2[63:48];
515
                insn[31:16] = ddr2_array_line3[63:48];
516
             end
517
           4'h8:
518
             begin
519
                insn[15:0] = ddr2_array_line0[79:64];
520
                insn[31:16] = ddr2_array_line1[79:64];
521
             end
522
           4'h9:
523
             begin
524
                insn[15:0] = ddr2_array_line2[79:64];
525
                insn[31:16] = ddr2_array_line3[79:64];
526
             end
527
           4'ha:
528
             begin
529
                insn[15:0] = ddr2_array_line0[95:80];
530
                insn[31:16] = ddr2_array_line1[95:80];
531
             end
532
           4'hb:
533
             begin
534
                insn[15:0] = ddr2_array_line2[95:80];
535
                insn[31:16] = ddr2_array_line3[95:80];
536
             end
537
           4'hc:
538
             begin
539
                insn[15:0] = ddr2_array_line0[111:96];
540
                insn[31:16] = ddr2_array_line1[111:96];
541
             end
542
           4'hd:
543
             begin
544
                insn[15:0] = ddr2_array_line2[111:96];
545
                insn[31:16] = ddr2_array_line3[111:96];
546
             end
547
           4'he:
548
             begin
549
                insn[15:0] = ddr2_array_line0[127:112];
550
                insn[31:16] = ddr2_array_line1[127:112];
551
             end
552
           4'hf:
553
             begin
554
                insn[15:0] = ddr2_array_line2[127:112];
555
                insn[31:16] = ddr2_array_line3[127:112];
556
             end
557
         endcase // case (addr[5:2])
558
      end
559
   endtask
560
 
561
   task get_byte_from_xilinx_ddr2;
562
      input [31:0] addr;
563
      output [7:0] data_byte;
564
      reg [31:0]   word;
565
      begin
566
         get_32bitword_from_xilinx_ddr2(addr, word);
567
         case (addr[1:0])
568
           2'b00:
569
             data_byte = word[31:24];
570
           2'b01:
571
             data_byte = word[23:16];
572
           2'b10:
573
             data_byte = word[15:8];
574
           2'b11:
575
             data_byte = word[7:0];
576
         endcase // case (addr[1:0])
577
      end
578
   endtask // get_byte_from_xilinx_ddr2
579
 
580
`endif
581
 
582 480 julius
`ifdef XILINX_DDR2
583
   task sync_controller_cache_xilinx_ddr;
584
      begin
585
         // Sync cache (writeback dirty lines) with external memory
586
         dut.xilinx_ddr2_0.xilinx_ddr2_if0.do_sync;
587
         // Wait for it to occur.
588
         while (dut.xilinx_ddr2_0.xilinx_ddr2_if0.sync)
589
           #100;
590 415 julius
 
591 480 julius
         // Wait just incase writeback of all data hasn't fully occurred.
592
         // 4uS, in case RAM needs to refresh while writing back.
593
         #4_000_000;
594
 
595
 
596
      end
597
   endtask // sync_controller_cache_xilinx_ddr
598
`endif
599
 
600
 
601 412 julius
   //
602
   // Check packet TX'd by MAC was good
603
   // 
604
   task check_tx_packet;
605
      input [31:0] tx_bd_num;
606
 
607
      reg [31:0]   tx_bd_addr;
608
      reg [7:0]    phy_byte;
609
 
610
      reg [31:0]   txpnt_wb; // Pointer in array to where data should be
611
      reg [24:0]   txpnt_sdram; // Index in array of shorts for data in SDRAM 
612
                                // part
613
      reg [21:0]   buffer;
614
      reg [7:0]    sdram_byte;
615
      reg [31:0]   tx_len_bd;
616
 
617
      integer      i;
618
      integer      failure;
619 44 julius
      begin
620 412 julius
         failure = 0;
621 480 julius
 
622 412 julius
         get_bd_lenstat(tx_bd_num, tx_len_bd);
623
 
624
         tx_len_bd = {15'd0,tx_len_bd[31:16]};
625
 
626
         // Check, if length didn't have to be padded, that
627
         // amount transmitted was correct
628
         if ((tx_len_bd > 60)&(tx_len_bd != (eth_phy0.tx_len-4)))
629
           begin
630
              $display("*E TX packet sent length, %0d != length in TX BD, %0d",
631
                       eth_phy0.tx_len-4, tx_len_bd);
632
              #100;
633
              $finish;
634
           end
635 480 julius
 
636
`ifdef XILINX_DDR2
637
         sync_controller_cache_xilinx_ddr;
638
`endif
639 412 julius
 
640
         get_bd_addr(tx_bd_num, tx_bd_addr);
641
 
642
         // We're never going to be using more than about 256K of receive buffer
643
         // so let's lop off the top bit of the address pointer - we only want
644
         // the offset from the base of the memory bank
645
         txpnt_wb = {14'd0,tx_bd_addr[17:0]};
646
         txpnt_sdram = tx_bd_addr[24:0];
647
 
648
         // Variable we'll use for index in the PHY's TX buffer
649
         buffer = 0; // Start of TX data
650 415 julius
 
651 412 julius
         for (i=0;i<tx_len_bd;i=i+1)
652
           begin
653
              //$display("Checking address in tx bd 0x%0h",txpnt_sdram);
654 415 julius
              sdram_byte = 8'hx;
655 439 julius
`ifdef RAM_WB
656
              sdram_byte = dut.ram_wb0.ram_wb_b3_0.get_byte(txpnt_sdram);
657 480 julius
`else
658
 `ifdef VERSATILE_SDRAM
659
              sdram0.get_byte(txpnt_sdram,sdram_byte);
660
 `else
661
  `ifdef XILINX_DDR2
662
              get_byte_from_xilinx_ddr2(txpnt_sdram, sdram_byte);
663
  `else
664
              $display(" * Error: sdram_byte was %x", sdram_byte);
665
 
666
              $display(" * eth_stim needs to be able to access the main memory to check packet rx/tx");
667
              $finish;
668
 
669
  `endif
670
 `endif
671 415 julius
`endif
672 412 julius
 
673
              phy_byte = eth_phy0.tx_mem[buffer];
674
              // Debugging output
675
              //$display("txpnt_sdram = 0x%h, sdram_byte = 0x%h, buffer = 0x%h, phy_byte = 0x%h", txpnt_sdram,  sdram_byte, buffer, phy_byte);
676 480 julius
 
677 412 julius
              if (phy_byte !== sdram_byte)
678
                begin
679
                   `TIME;
680
                   $display("*E Wrong byte (%d) of TX packet! ram = %h, phy = %h",buffer, sdram_byte, phy_byte);
681
                   failure = 1;
682
                end
683
 
684
              buffer = buffer + 1;
685
 
686
              txpnt_sdram = txpnt_sdram+1;
687
 
688
           end // for (i=0;i<tx_len_bd;i=i+1)
689
 
690
         if (failure)
691
           begin
692
              #100
693
                `TIME;
694
              $display("*E Error transmitting packet %0d (%0d bytes). Finishing simulation", num_tx_packets, tx_len_bd);
695
              get_bd_lenstat(tx_bd_num, tx_len_bd);
696
              $display("   TXBD lenstat: 0x%0h",tx_len_bd);
697
              $display("   TXBD address: 0x%0h",tx_bd_addr);
698
              $finish;
699
           end
700
         else
701
           begin
702
              #1 $display( "(%0t)(%m) TX packet %0d: %0d bytes in memory OK!",$time,num_tx_packets, tx_len_bd);
703
 
704
           end
705
 
706
 
707 44 julius
      end
708 412 julius
   endtask // check_tx_packet
709
 
710
   //
711
   // Task to send a set of packets
712
   //
713
   task send_packet_loop;
714
      input [31:0] num_packets;
715
      input [31:0] length;
716
      input [1:0]  length_change; // 0 = none, 1 = incr, 2 = decrement
717
      input [31:0] length_change_size; // Size to change by
718
      input        speed;
719
      input [31:0] back_to_back_delay; // #delay setting between packets
720
      input [47:0] dst_mac;
721
      input [47:0] src_mac;
722
      input        random_fill;
723
      input        random_errors;
724
      input [31:0] random_error_mod;
725
      integer      j;
726
      reg          error_this_time;
727
      integer      error_type; // 0 = rxerr, 1=bad preamble 2=bad crc 3=TODO
728
      reg [31:0]   rx_bd_lenstat;
729 44 julius
      begin
730 412 julius
         error_type = 0;
731
         error_this_time = 0;
732
 
733
         if (num_packets == 0)
734
           // Loop forever when num_packets is 0
735
           num_packets = 32'h7fffffff;
736
 
737
 
738
         if (speed & !(eth_phy0.control_bit14_10[13] === 1'b1))
739
           begin
740
              // write to phy's control register for 100Mbps
741
              eth_phy0.control_bit14_10 = 5'b01000; // bit 13 set - speed 100
742
              // Swapping speeds, give some delay
743
              #10000;
744
           end
745
         else if (!speed & !(eth_phy0.control_bit14_10[13] === 1'b0))
746
           begin
747
              eth_phy0.control_bit14_10 = 5'b00000; // bit 13 reset - speed 10
748
              // Swapping speeds, give some delay
749
              #10000;
750
           end
751
 
752
         eth_phy0.control_bit8_0   = 9'h1_00;
753
 
754
         for(j=0;j<num_packets | length <32;j=j+1)
755
           begin
756
              eth_stim_rx_packet_length = length[15:0]; // Bytes
757
              st_data = 8'h0F;
758
 
759
              // setup RX packet in buffer - length is without CRC
760
              set_rx_packet(0, eth_stim_rx_packet_length, 1'b0, dst_mac,
761
                            src_mac, 16'h0D0E, st_data, random_fill);
762
 
763
              set_rx_addr_type(0, dst_mac, src_mac, 16'h0D0E);
764
 
765
              // Error type 2 is cause CRC error
766
              append_rx_crc(0, eth_stim_rx_packet_length, 1'b0,
767
                            (error_type==2));
768
 
769
              if (error_this_time)
770
                begin
771
                   if (error_type == 0)
772
                     // RX ERR assert during transmit
773
                     eth_phy0.send_rx_packet(64'h0055_5555_5555_5555, 4'h7,
774
                                             8'hD5, 0,
775
                                             eth_stim_rx_packet_length+4,
776
                                             1'b0, 1'b1);
777
                   else if (error_type == 1)
778
                     // Incorrect preamble
779
                     eth_phy0.send_rx_packet(64'h0055_5f55_5555_5555, 4'h7,
780
                                             8'hD5, 0,
781
                                             eth_stim_rx_packet_length+4,
782
                                             1'b0, 1'b0);
783
                   else
784
                     // Normal datapacket
785
                     eth_phy0.send_rx_packet(64'h0055_5555_5555_5555, 4'h7,
786
                                             8'hD5, 0,
787
                                             eth_stim_rx_packet_length+4,
788
                                             1'b0, 1'b0);
789
                end
790
              else
791
                eth_phy0.send_rx_packet(64'h0055_5555_5555_5555, 4'h7, 8'hD5,
792
                                        0, eth_stim_rx_packet_length+4, 1'b0,
793
                                        1'b0);
794
 
795
 
796
              // if RX enable still set (might have gone low during this packet
797
              if (ethmac_rxen)
798
                begin
799
                   if (error_this_time)
800
                     // Put in dummy length, checking function will skip...
801
                     rx_packet_lengths[(eth_rx_num_packets_sent& 12'h3ff)]=32'heeeeeeee;
802
                   else
803
                     rx_packet_lengths[(eth_rx_num_packets_sent & 12'h3ff)] = length;
804
 
805
                   eth_rx_num_packets_sent = eth_rx_num_packets_sent + 1;
806
 
807
                end // if (ethmac_rxen)
808
              else
809
                begin
810
                   // Force the loop to finish up                  
811
                   j = num_packets;
812
                end
813
 
814
 
815
              // Inter-packet gap
816
              #back_to_back_delay;
817
 
818
              // Update length
819
              if (length_change == 2'b01)
820
                length = length + length_change_size;
821
 
822
              if ((length_change == 2'b10) &&
823
                  ((length - length_change_size) > 32))
824
                length = length - length_change_size;
825
 
826
              // Increment error type
827
              if (error_this_time)
828
                error_type = error_type + 1;
829
              if (error_type > 3)
830
                error_type = 0;
831
 
832
 
833
              // Check if we should put in an error this time
834
              if (j%random_error_mod == 0)
835
                error_this_time = 1;
836
              else
837
                error_this_time = 0;
838
 
839
              eth_phy0.rx_err(0);
840
 
841
              // Now wait to check if we have filled up all the RX BDs and
842
              // the this packet would start writing over them. Only really an
843
              // issue when doing minimum IPG tests.
844
              while(((eth_rx_num_packets_sent+1) - eth_rx_num_packets_checked)
845
                    == num_rx_bds)
846
                #100;
847
 
848
 
849
           end // for (j=0;j<num_packets | length <32;j=j+1)
850 44 julius
      end
851 412 julius
   endtask // send_packet_loop
852
 
853
   // Local buffer of "sent" data to the ethernet MAC, we will check against
854
   // Size of our local buffer in bytes
855
   parameter eth_rx_sent_circbuf_size = (16*1024);
856
   parameter eth_rx_sent_circbuf_size_mask = eth_rx_sent_circbuf_size - 1;
857
   integer eth_rx_sent_circbuf_fill_ptr = 0;
858
   integer eth_rx_sent_circbuf_read_ptr = 0;
859
   // The actual buffer
860
   reg [7:0] eth_rx_sent_circbuf [0:eth_rx_sent_circbuf_size-1];
861
 
862
   /*
863
    TASKS for set and check RX packets:
864
    -----------------------------------
865
    set_rx_packet
866
    (rxpnt[31:0], len[15:0], plus_nibble, d_addr[47:0], s_addr[47:0], type_len[15:0], start_data[7:0]);
867
    check_rx_packet
868
    (rxpnt_phy[31:0], rxpnt_wb[31:0], len[15:0], plus_nibble, successful_nibble, failure[31:0]);
869
    */
870
   task set_rx_packet;
871
      input  [31:0] rxpnt; // pointer to place in in the phy rx buffer we'll start at
872
      input [15:0]  len;
873
      input         plus_dribble_nibble; // if length is longer for one nibble
874
      input [47:0]  eth_dest_addr;
875
      input [47:0]  eth_source_addr;
876
      input [15:0]  eth_type_len;
877
      input [7:0]   eth_start_data;
878
      input         random_fill;
879
      integer       i, sd;
880
      reg [47:0]    dest_addr;
881
      reg [47:0]    source_addr;
882
      reg [15:0]    type_len;
883
      reg [21:0]    buffer;
884
      reg           delta_t;
885
 
886 44 julius
      begin
887 412 julius
         buffer = rxpnt[21:0];
888
         dest_addr = eth_dest_addr;
889
         source_addr = eth_source_addr;
890
         type_len = eth_type_len;
891
         sd = eth_start_data;
892
         delta_t = 0;
893
         for(i = 0; i < len; i = i + 1)
894
           begin
895
              if (i < 6)
896
                begin
897
                   eth_phy0.rx_mem[buffer] = dest_addr[47:40];
898
                   dest_addr = dest_addr << 8;
899
                end
900
              else if (i < 12)
901
                begin
902
                   eth_phy0.rx_mem[buffer] = source_addr[47:40];
903
                   source_addr = source_addr << 8;
904
                end
905
              else if (i < 14)
906
                begin
907
                   eth_phy0.rx_mem[buffer] = type_len[15:8];
908
                   type_len = type_len << 8;
909
                end
910
              else
911
                begin
912
                   if (random_fill)
913
                     begin
914
                        if (lfsr_last_byte == 0)
915
                          eth_phy0.rx_mem[buffer] = lfsr[15:8];
916
                        if (lfsr_last_byte == 1)
917
                          eth_phy0.rx_mem[buffer] = lfsr[23:16];
918
                        if (lfsr_last_byte == 2)
919
                          eth_phy0.rx_mem[buffer] = lfsr[31:24];
920
                        if (lfsr_last_byte == 3)
921
                          begin
922
                             eth_phy0.rx_mem[buffer] = lfsr[7:0];
923
                             lfsr = {lfsr[30:0],(((lfsr[31] ^ lfsr[6]) ^
924
                                                  lfsr[5]) ^ lfsr[1])};
925
                             lfsr_last_byte =  0;
926
                          end
927
                        else
928
                          lfsr_last_byte = lfsr_last_byte + 1;
929
 
930
                     end // if (random_fill)               
931
                   else
932
                     eth_phy0.rx_mem[buffer] = sd[7:0];
933
                   sd = sd + 1;
934
                end // else: !if(i < 14)
935
 
936
              // Update our local buffer
937
              eth_rx_sent_circbuf[eth_rx_sent_circbuf_fill_ptr]
938
                = eth_phy0.rx_mem[buffer];
939
              eth_rx_sent_circbuf_fill_ptr = (eth_rx_sent_circbuf_fill_ptr+1)&
940
                                             eth_rx_sent_circbuf_size_mask;
941
 
942
              buffer = buffer + 1;
943
           end // for (i = 0; i < len; i = i + 1)
944
 
945
         delta_t = !delta_t;
946
         if (plus_dribble_nibble)
947
           eth_phy0.rx_mem[buffer] = {4'h0, 4'hD /*sd[3:0]*/};
948
         delta_t = !delta_t;
949 44 julius
      end
950 412 julius
   endtask // set_rx_packet
951
 
952
 
953
 
954
 
955
   task set_rx_addr_type;
956
      input  [31:0] rxpnt;
957
      input [47:0]  eth_dest_addr;
958
      input [47:0]  eth_source_addr;
959
      input [15:0]  eth_type_len;
960
      integer       i;
961
      reg [47:0]    dest_addr;
962
      reg [47:0]    source_addr;
963
      reg [15:0]    type_len;
964
      reg [21:0]    buffer;
965
      reg           delta_t;
966 44 julius
      begin
967 412 julius
         buffer = rxpnt[21:0];
968
         dest_addr = eth_dest_addr;
969
         source_addr = eth_source_addr;
970
         type_len = eth_type_len;
971
         delta_t = 0;
972
         for(i = 0; i < 14; i = i + 1)
973
           begin
974
              if (i < 6)
975
                begin
976
                   eth_phy0.rx_mem[buffer] = dest_addr[47:40];
977
                   dest_addr = dest_addr << 8;
978
                end
979
              else if (i < 12)
980
                begin
981
                   eth_phy0.rx_mem[buffer] = source_addr[47:40];
982
                   source_addr = source_addr << 8;
983
                end
984
              else // if (i < 14)
985
                begin
986
                   eth_phy0.rx_mem[buffer] = type_len[15:8];
987
                   type_len = type_len << 8;
988
                end
989
              buffer = buffer + 1;
990
           end
991
         delta_t = !delta_t;
992 44 julius
      end
993 412 julius
   endtask // set_rx_addr_type
994
 
995
 
996
   // Check if we're using a synthesized version of eth module
997
`ifdef ethmac_IS_GATELEVEL
998
 
999
   // Get the length/status register of the ethernet buffer descriptor
1000
   task get_bd_lenstat;
1001
      input [31:0] bd_num;// Number of ethernet BD to check
1002
      output [31:0] bd_lenstat;
1003
 `ifdef ACTEL
1004
      reg [8:0]    tmp;
1005
      integer      raddr;
1006
 `endif
1007 44 julius
      begin
1008 412 julius
 `ifdef ACTEL
1009
 
1010
         // Pull from the Actel memory model
1011
         raddr = `ETH_BD_RAM_PATH.\mem_tile.I_1 .get_address((bd_num*2));
1012
 
1013
         tmp = `ETH_BD_RAM_PATH.\mem_tile.I_1 .MEM_512_9[(raddr*2)];
1014
         bd_lenstat[8:0] = tmp[8:0];
1015
 
1016
         tmp = `ETH_BD_RAM_PATH.\mem_tile.I_1 .MEM_512_9[(raddr*2)+1];
1017
         bd_lenstat[17:9] = tmp[8:0];
1018
 
1019
         raddr = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .get_address((bd_num*2));
1020
 
1021
         tmp = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .MEM_512_9[(raddr*2)];
1022
         bd_lenstat[26:18] = tmp[8:0];
1023
 
1024
         tmp = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .MEM_512_9[(raddr*2)+1];
1025
         bd_lenstat[31:27] = tmp[4:0];
1026
 
1027
         //$display("(%t) read eth bd lenstat %h",$time, bd_lenstat);
1028
 `endif
1029 44 julius
      end
1030 412 julius
   endtask // get_bd_lenstat
1031
 
1032
   // Get the length/status register of the ethernet buffer descriptor
1033
   task get_bd_addr;
1034
      input [31:0] bd_num;// Number of the ethernet BD to check
1035
      output [31:0] bd_addr;
1036
 `ifdef ACTEL
1037
      reg [8:0]    tmp;
1038
      integer       raddr;
1039
 `endif
1040 44 julius
      begin
1041 412 julius
 `ifdef ACTEL
1042
         // Pull from the Actel memory model
1043
         raddr = `ETH_BD_RAM_PATH.\mem_tile.I_1 .get_address((bd_num*2)+1);
1044
 
1045
         tmp = `ETH_BD_RAM_PATH.\mem_tile.I_1 .MEM_512_9[(raddr*2)];
1046
         bd_addr[8:0] = tmp[8:0];
1047
 
1048
         tmp = `ETH_BD_RAM_PATH.\mem_tile.I_1 .MEM_512_9[(raddr*2)+1];
1049
         bd_addr[17:9] = tmp[8:0];
1050
 
1051
         raddr = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .get_address((bd_num*2)+1);
1052
 
1053
         tmp = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .MEM_512_9[(raddr*2)];
1054
         bd_addr[26:18] = tmp[8:0];
1055
 
1056
         tmp = `ETH_BD_RAM_PATH.\mem_tile_0.I_1 .MEM_512_9[(raddr*2)+1];
1057
         bd_addr[31:27] = tmp[4:0];
1058
 
1059
         //$display("(%t) read eth bd%d addr %h",$time,bd_num, bd_addr);
1060
 `endif
1061 44 julius
      end
1062 412 julius
   endtask // get_bd_addr
1063
 
1064
`else // !`ifdef ethmac_IS_GATELEVEL
1065
 
1066
   // Get the length/status register of the ethernet buffer descriptor
1067
   task get_bd_lenstat;
1068
      input [31:0] bd_num;// Number of ethernet BD to check
1069
      output [31:0] bd_lenstat;
1070 44 julius
      begin
1071 412 julius
         bd_lenstat = `ETH_BD_RAM_PATH.mem[(bd_num*2)];
1072 44 julius
      end
1073 412 julius
   endtask // get_bd_lenstat
1074
 
1075
   // Get the length/status register of the ethernet buffer descriptor
1076
   task get_bd_addr;
1077
      input [31:0] bd_num;// Number of the ethernet BD to check
1078
      output [31:0] bd_addr;
1079 44 julius
      begin
1080 412 julius
         bd_addr = `ETH_BD_RAM_PATH.mem[((bd_num*2)+1)];
1081
         //$display("(%t) read eth bd%d addr %h",$time,bd_num, bd_addr);
1082 44 julius
      end
1083 412 julius
   endtask // get_bd_addr
1084
`endif
1085
 
1086
   // Always block triggered by finishing of transmission of new packet from 
1087
   // send_packet_loop
1088
   integer eth_rx_packet_length_to_check;
1089 44 julius
 
1090 412 julius
   always @*
1091
     begin
1092
        // Loop here until:
1093
        // 1 - packets sent is not equal to packets checked (ie. some to check)
1094
        // 2 - we're explicitly disabled for some reason
1095
        // 3 - Receive has been disabled in the MAC
1096
        while((eth_rx_num_packets_sent == eth_rx_num_packets_checked) ||
1097
              !eth_stim_check_rx_packet_contents || !(ethmac_rxen===1'b1))
1098
          #1000;
1099 44 julius
 
1100 412 julius
        eth_rx_packet_length_to_check
1101
          = rx_packet_lengths[(eth_rx_num_packets_checked & 12'h3ff)];
1102
 
1103
        if ( eth_rx_packet_length_to_check !==  32'heeeeeeee)
1104
          check_rx_packet(expected_rxbd, 0, eth_rx_packet_length_to_check);
1105
 
1106
        eth_rx_num_packets_checked = eth_rx_num_packets_checked + 1;
1107
 
1108
        expected_rxbd = expected_rxbd + 1;
1109
 
1110
        // Wrap
1111
        if (expected_rxbd == (num_tx_bds + num_rx_bds))
1112
          expected_rxbd = num_tx_bds;
1113
     end
1114
 
1115
   task check_rx_packet;
1116
 
1117
      input [31:0] rx_bd_num;
1118
      input [31:0] rxpnt_phy; // Pointer in array of data in PHY
1119
      input [31:0] len;
1120
 
1121
      reg [31:0]   rx_bd_lenstat;
1122
      reg [31:0]   rx_bd_addr;
1123
      reg [7:0]    phy_byte;
1124
 
1125
      reg [31:0]   rxpnt_wb; // Pointer in array to where data should be
1126
      reg [24:0]   rxpnt_sdram; // byte address from CPU in RAM
1127
      reg [15:0]   sdram_short;
1128
      reg [7:0]    sdram_byte;
1129
 
1130
      integer      i;
1131
      integer      failure;
1132
 
1133
      begin
1134 44 julius
 
1135 412 julius
         failure = 0;
1136
 
1137
         // Wait until the buffer descriptor indicates the packet has been 
1138
         // received...
1139
         get_bd_lenstat(rx_bd_num, rx_bd_lenstat);
1140
         while (rx_bd_lenstat & 32'h00008000)// Check Empty bit
1141
           begin
1142
              #10;
1143
              get_bd_lenstat(rx_bd_num, rx_bd_lenstat);
1144
              //$display("(%t) check_rx_packet: poll bd %d: 0x%h",$time,
1145
                //        rx_bd_num, rx_bd_lenstat);
1146
           end
1147
 
1148 44 julius
 
1149 412 julius
         // Delay some time - takes a bit for the Wishbone FSM to pipe out the
1150
         // packet over Wishbone and into whatever memory it's going into
1151
         #Td_rx_packet_check;
1152 480 julius
 
1153
`ifdef XILINX_DDR2
1154
         sync_controller_cache_xilinx_ddr;
1155
`endif
1156 412 julius
 
1157
         // Ok, buffer filled, let's get its offset in memory
1158
         get_bd_addr(rx_bd_num, rx_bd_addr);
1159 44 julius
 
1160 412 julius
         $display("(%t) Check RX packet: bd %d: 0x%h, addr 0x%h",$time,
1161
                  rx_bd_num, rx_bd_lenstat, rx_bd_addr);
1162 44 julius
 
1163 412 julius
 
1164
         // We're never going to be using more than about 256KB of receive buffer
1165
         // so let's lop off the top bit of the address pointer - we only want
1166
         // the offset from the base of the memory bank
1167
 
1168
         rxpnt_wb = {14'd0,rx_bd_addr[17:0]};
1169
         rxpnt_sdram = rx_bd_addr[24:0];
1170 415 julius
 
1171
 
1172 412 julius
         //$display("RAM pointer for BD is 0x%h, SDRAM addr is 0x%h", rx_bd_addr, rxpnt_sdram);
1173 44 julius
 
1174
 
1175 412 julius
         for (i=0;i<len;i=i+1)
1176
           begin
1177 44 julius
 
1178 415 julius
              sdram_byte = 8'hx;
1179
`ifdef XILINX_DDR2
1180
              get_byte_from_xilinx_ddr2(rxpnt_sdram, sdram_byte);
1181 480 julius
`else
1182
              $display(" * Error:");
1183
 
1184
              $display(" * eth_stim needs to be able to access the main memory to check packet rx/tx");
1185
              $finish;
1186
`endif
1187
 
1188
              phy_byte = eth_rx_sent_circbuf[eth_rx_sent_circbuf_read_ptr];
1189 44 julius
 
1190 412 julius
              if (phy_byte !== sdram_byte)
1191
                begin
1192
//                 `TIME;                 
1193 480 julius
                   $display("*E Wrong byte (%5d) of RX packet %5d. phy mem = %h, ram = %h",
1194 412 julius
                            i, eth_rx_num_packets_checked, phy_byte, sdram_byte);
1195
                   failure = 1;
1196
                end
1197
 
1198
              eth_rx_sent_circbuf_read_ptr = (eth_rx_sent_circbuf_read_ptr+1)&
1199
                                             eth_rx_sent_circbuf_size_mask;
1200
 
1201
              rxpnt_sdram = rxpnt_sdram+1;
1202
 
1203
           end // for (i=0;i<len;i=i+2)
1204
 
1205
         if (failure)
1206
           begin
1207
              #100
1208
                `TIME;
1209
              $display("*E Recieved packet %0d, length %0d bytes, had an error. Finishing simulation.", eth_rx_num_packets_checked, len);
1210
              $finish;
1211
           end
1212
         else
1213
           begin
1214
              #1 $display( "(%0t)(%m) RX packet %0d: %0d bytes in memory OK!",$time,eth_rx_num_packets_checked, len);
1215
 
1216
           end
1217
      end
1218
   endtask // check_rx_packet
1219
 
1220
 
1221
   //////////////////////////////////////////////////////////////
1222
   // Ethernet CRC Basic tasks
1223
   //////////////////////////////////////////////////////////////
1224
 
1225
   task append_rx_crc;
1226
      input  [31:0] rxpnt_phy; // source
1227
      input [15:0]  len; // length in bytes without CRC
1228
      input         plus_dribble_nibble; // if length is longer for one nibble
1229
      input         negated_crc; // if appended CRC is correct or not
1230
      reg [31:0]    crc;
1231
      reg [7:0]     tmp;
1232
      reg [31:0]    addr_phy;
1233
      reg           delta_t;
1234
      begin
1235
         addr_phy = rxpnt_phy + len;
1236
         delta_t = 0;
1237
         // calculate CRC from prepared packet
1238
         paralel_crc_phy_rx(rxpnt_phy, {16'h0, len}, plus_dribble_nibble, crc);
1239
         if (negated_crc)
1240
           crc = ~crc;
1241
         delta_t = !delta_t;
1242
 
1243
         if (plus_dribble_nibble)
1244
           begin
1245
              tmp = eth_phy0.rx_mem[addr_phy];
1246
              eth_phy0.rx_mem[addr_phy]     = {crc[27:24], tmp[3:0]};
1247
              eth_phy0.rx_mem[addr_phy + 1] = {crc[19:16], crc[31:28]};
1248
              eth_phy0.rx_mem[addr_phy + 2] = {crc[11:8], crc[23:20]};
1249
              eth_phy0.rx_mem[addr_phy + 3] = {crc[3:0], crc[15:12]};
1250
              eth_phy0.rx_mem[addr_phy + 4] = {4'h0, crc[7:4]};
1251
           end
1252
         else
1253
           begin
1254
              eth_phy0.rx_mem[addr_phy]     = crc[31:24];
1255
              eth_phy0.rx_mem[addr_phy + 1] = crc[23:16];
1256
              eth_phy0.rx_mem[addr_phy + 2] = crc[15:8];
1257
              eth_phy0.rx_mem[addr_phy + 3] = crc[7:0];
1258
           end
1259
      end
1260
   endtask // append_rx_crc
1261
 
1262
   task append_rx_crc_delayed;
1263
      input  [31:0] rxpnt_phy; // source
1264
      input [15:0]  len; // length in bytes without CRC
1265
      input         plus_dribble_nibble; // if length is longer for one nibble
1266
      input         negated_crc; // if appended CRC is correct or not
1267
      reg [31:0]    crc;
1268
      reg [7:0]     tmp;
1269
      reg [31:0]    addr_phy;
1270
      reg           delta_t;
1271
      begin
1272
         addr_phy = rxpnt_phy + len;
1273
         delta_t = 0;
1274
         // calculate CRC from prepared packet
1275
         paralel_crc_phy_rx(rxpnt_phy+4, {16'h0, len}-4, plus_dribble_nibble, crc);
1276
         if (negated_crc)
1277
           crc = ~crc;
1278
         delta_t = !delta_t;
1279
 
1280
         if (plus_dribble_nibble)
1281
           begin
1282
              tmp = eth_phy0.rx_mem[addr_phy];
1283
              eth_phy0.rx_mem[addr_phy]     = {crc[27:24], tmp[3:0]};
1284
              eth_phy0.rx_mem[addr_phy + 1] = {crc[19:16], crc[31:28]};
1285
              eth_phy0.rx_mem[addr_phy + 2] = {crc[11:8], crc[23:20]};
1286
              eth_phy0.rx_mem[addr_phy + 3] = {crc[3:0], crc[15:12]};
1287
              eth_phy0.rx_mem[addr_phy + 4] = {4'h0, crc[7:4]};
1288
           end
1289
         else
1290
           begin
1291
              eth_phy0.rx_mem[addr_phy]     = crc[31:24];
1292
              eth_phy0.rx_mem[addr_phy + 1] = crc[23:16];
1293
              eth_phy0.rx_mem[addr_phy + 2] = crc[15:8];
1294
              eth_phy0.rx_mem[addr_phy + 3] = crc[7:0];
1295
           end
1296
      end
1297
   endtask // append_rx_crc_delayed
1298
 
1299
 
1300
   // paralel CRC calculating for PHY RX
1301
   task paralel_crc_phy_rx;
1302
      input  [31:0] start_addr; // start address
1303
      input [31:0]  len; // length of frame in Bytes without CRC length
1304
      input         plus_dribble_nibble; // if length is longer for one nibble
1305
      output [31:0] crc_out;
1306
      reg [21:0]    addr_cnt; // only 22 address lines
1307
      integer       word_cnt;
1308
      integer       nibble_cnt;
1309
      reg [31:0]    load_reg;
1310
      reg           delta_t;
1311
      reg [31:0]    crc_next;
1312
      reg [31:0]    crc;
1313
      reg           crc_error;
1314
      reg [3:0]     data_in;
1315
      integer       i;
1316
      begin
1317
         #1 addr_cnt = start_addr[21:0];
1318
         word_cnt = 24; // 27; // start of the frame - nibble granularity (MSbit first)
1319
         crc = 32'hFFFF_FFFF; // INITIAL value
1320
         delta_t = 0;
1321
         // length must include 4 bytes of ZEROs, to generate CRC
1322
         // get number of nibbles from Byte length (2^1 = 2)
1323
         if (plus_dribble_nibble)
1324
           nibble_cnt = ((len + 4) << 1) + 1'b1; // one nibble longer
1325
         else
1326
           nibble_cnt = ((len + 4) << 1);
1327
         // because of MAGIC NUMBER nibbles are swapped [3:0] -> [0:3]
1328
         load_reg[31:24] = eth_phy0.rx_mem[addr_cnt];
1329
         addr_cnt = addr_cnt + 1;
1330
         load_reg[23:16] = eth_phy0.rx_mem[addr_cnt];
1331
         addr_cnt = addr_cnt + 1;
1332
         load_reg[15: 8] = eth_phy0.rx_mem[addr_cnt];
1333
         addr_cnt = addr_cnt + 1;
1334
         load_reg[ 7: 0] = eth_phy0.rx_mem[addr_cnt];
1335
         addr_cnt = addr_cnt + 1;
1336
         while (nibble_cnt > 0)
1337
           begin
1338
              // wait for delta time
1339
              delta_t = !delta_t;
1340
              // shift data in
1341
 
1342
              if(nibble_cnt <= 8) // for additional 8 nibbles shift ZEROs in!
1343
                data_in[3:0] = 4'h0;
1344
              else
1345
 
1346
                data_in[3:0] = {load_reg[word_cnt], load_reg[word_cnt+1], load_reg[word_cnt+2], load_reg[word_cnt+3]};
1347
              crc_next[0]  = (data_in[0] ^ crc[28]);
1348
              crc_next[1]  = (data_in[1] ^ data_in[0] ^ crc[28]    ^ crc[29]);
1349
              crc_next[2]  = (data_in[2] ^ data_in[1] ^ data_in[0] ^ crc[28]  ^ crc[29] ^ crc[30]);
1350
              crc_next[3]  = (data_in[3] ^ data_in[2] ^ data_in[1] ^ crc[29]  ^ crc[30] ^ crc[31]);
1351
              crc_next[4]  = (data_in[3] ^ data_in[2] ^ data_in[0] ^ crc[28]  ^ crc[30] ^ crc[31]) ^ crc[0];
1352
              crc_next[5]  = (data_in[3] ^ data_in[1] ^ data_in[0] ^ crc[28]  ^ crc[29] ^ crc[31]) ^ crc[1];
1353
              crc_next[6]  = (data_in[2] ^ data_in[1] ^ crc[29]    ^ crc[30]) ^ crc[ 2];
1354
              crc_next[7]  = (data_in[3] ^ data_in[2] ^ data_in[0] ^ crc[28]  ^ crc[30] ^ crc[31]) ^ crc[3];
1355
              crc_next[8]  = (data_in[3] ^ data_in[1] ^ data_in[0] ^ crc[28]  ^ crc[29] ^ crc[31]) ^ crc[4];
1356
              crc_next[9]  = (data_in[2] ^ data_in[1] ^ crc[29]    ^ crc[30]) ^ crc[5];
1357
              crc_next[10] = (data_in[3] ^ data_in[2] ^ data_in[0] ^ crc[28]  ^ crc[30] ^ crc[31]) ^ crc[6];
1358
              crc_next[11] = (data_in[3] ^ data_in[1] ^ data_in[0] ^ crc[28]  ^ crc[29] ^ crc[31]) ^ crc[7];
1359
              crc_next[12] = (data_in[2] ^ data_in[1] ^ data_in[0] ^ crc[28]  ^ crc[29] ^ crc[30]) ^ crc[8];
1360
              crc_next[13] = (data_in[3] ^ data_in[2] ^ data_in[1] ^ crc[29]  ^ crc[30] ^ crc[31]) ^ crc[9];
1361
              crc_next[14] = (data_in[3] ^ data_in[2] ^ crc[30]    ^ crc[31]) ^ crc[10];
1362
              crc_next[15] = (data_in[3] ^ crc[31])   ^ crc[11];
1363
              crc_next[16] = (data_in[0] ^ crc[28])   ^ crc[12];
1364
              crc_next[17] = (data_in[1] ^ crc[29])   ^ crc[13];
1365
              crc_next[18] = (data_in[2] ^ crc[30])   ^ crc[14];
1366
              crc_next[19] = (data_in[3] ^ crc[31])   ^ crc[15];
1367
              crc_next[20] =  crc[16];
1368
              crc_next[21] =  crc[17];
1369
              crc_next[22] = (data_in[0] ^ crc[28])   ^ crc[18];
1370
              crc_next[23] = (data_in[1] ^ data_in[0] ^ crc[29]    ^ crc[28]) ^ crc[19];
1371
              crc_next[24] = (data_in[2] ^ data_in[1] ^ crc[30]    ^ crc[29]) ^ crc[20];
1372
              crc_next[25] = (data_in[3] ^ data_in[2] ^ crc[31]    ^ crc[30]) ^ crc[21];
1373
              crc_next[26] = (data_in[3] ^ data_in[0] ^ crc[31]    ^ crc[28]) ^ crc[22];
1374
              crc_next[27] = (data_in[1] ^ crc[29])   ^ crc[23];
1375
              crc_next[28] = (data_in[2] ^ crc[30])   ^ crc[24];
1376
              crc_next[29] = (data_in[3] ^ crc[31])   ^ crc[25];
1377
              crc_next[30] =  crc[26];
1378
              crc_next[31] =  crc[27];
1379
 
1380
              crc = crc_next;
1381
              crc_error = crc[31:0] != 32'hc704dd7b;  // CRC not equal to magic number
1382
              case (nibble_cnt)
1383
                9: crc_out = {!crc[24], !crc[25], !crc[26], !crc[27], !crc[28], !crc[29], !crc[30], !crc[31],
1384
                              !crc[16], !crc[17], !crc[18], !crc[19], !crc[20], !crc[21], !crc[22], !crc[23],
1385
                              !crc[ 8], !crc[ 9], !crc[10], !crc[11], !crc[12], !crc[13], !crc[14], !crc[15],
1386
                              !crc[ 0], !crc[ 1], !crc[ 2], !crc[ 3], !crc[ 4], !crc[ 5], !crc[ 6], !crc[ 7]};
1387
                default: crc_out = crc_out;
1388
              endcase
1389
              // wait for delta time
1390
              delta_t = !delta_t;
1391
              // increment address and load new data
1392
              if ((word_cnt+3) == 7)//4)
1393
                begin
1394
                   // because of MAGIC NUMBER nibbles are swapped [3:0] -> [0:3]
1395
                   load_reg[31:24] = eth_phy0.rx_mem[addr_cnt];
1396
                   addr_cnt = addr_cnt + 1;
1397
                   load_reg[23:16] = eth_phy0.rx_mem[addr_cnt];
1398
                   addr_cnt = addr_cnt + 1;
1399
                   load_reg[15: 8] = eth_phy0.rx_mem[addr_cnt];
1400
                   addr_cnt = addr_cnt + 1;
1401
                   load_reg[ 7: 0] = eth_phy0.rx_mem[addr_cnt];
1402
                   addr_cnt = addr_cnt + 1;
1403
                end
1404
              // set new load bit position
1405
              if((word_cnt+3) == 31)
1406
                word_cnt = 16;
1407
              else if ((word_cnt+3) == 23)
1408
                word_cnt = 8;
1409
              else if ((word_cnt+3) == 15)
1410
                word_cnt = 0;
1411
              else if ((word_cnt+3) == 7)
1412
                word_cnt = 24;
1413
              else
1414
                word_cnt = word_cnt + 4;// - 4;
1415
              // decrement nibble counter
1416
              nibble_cnt = nibble_cnt - 1;
1417
              // wait for delta time
1418
              delta_t = !delta_t;
1419
           end // while
1420
         #1;
1421
      end
1422
   endtask // paralel_crc_phy_rx
1423
 
1424
 
1425
 
1426 44 julius
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.