OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [doc/] [orpsoc.texi] - Blame information for rev 485

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 397 julius
\input texinfo   @c -*-texinfo-*-
2
@c %**start of header
3
@setfilename orpsoc.info
4
@settitle ORPSoC manual 0.1
5
@include config.texi
6
@c %**end of header
7
 
8
@copying
9
This file documents the OpenRISC Reference Platform SoC, @value{ORPSOC}.
10
 
11 468 julius
Copyright @copyright{} 2010,2011 OpenCores
12 397 julius
 
13
@quotation
14
Permission is granted to copy, distribute and/or modify this document
15
under the terms of the GNU Free Documentation License, Version 1.2 or
16
any later version published by the Free Software Foundation; with no
17
Invariant Sections, with no Front-Cover Texts, and with no Back-Cover
18
Texts.  A copy of the license is included in the section entitled ``GNU
19
Free Documentation License''.
20
@end quotation
21
@end copying
22
 
23
@setchapternewpage on
24
@settitle @value{ORPSOC} User Guide
25
 
26
@syncodeindex fn cp
27
@syncodeindex vr cp
28
 
29
@titlepage
30
@title @value{ORPSOC} User Guide
31
@c @subtitle subtitle-if-any
32
@c @subtitle second-subtitle
33
@author Julius Baxter
34
@author OpenCores
35
@author Issue 1 for @value{ORPSOC}
36
 
37
@c  The following two commands
38
@c  start the copyright page.
39
@page
40
@vskip 0pt plus 1filll
41
@insertcopying
42
 
43
Published by OpenCores
44
@end titlepage
45
 
46
@c So the toc is printed at the start.
47
@contents
48
 
49
@ifnottex
50
@node Top
51
@top Scope of this Document
52
 
53
This document is the user guide for @value{ORPSOC}, the OpenRISC Reference Platform System on Chip project.
54
 
55
@end ifnottex
56
 
57
@menu
58
* Introduction::
59
* Project Organisation::
60
* Getting Started::
61
* Reference Design::
62
* Board Designs::
63 408 julius
* ORDB1A3PE1500::
64 415 julius
* ML501::
65 485 julius
* Generic Designs::
66 468 julius
* Software::
67 397 julius
* GNU Free Documentation License::  The license for this documentation
68
* Index::
69
@end menu
70
 
71 408 julius
@node Document Introduction
72 397 julius
@chapter Introduction
73
 
74
@cindex introduction to this @value{ORPSOC}
75
 
76 425 julius
@value{ORPSOC} is intended to be a reference implementation of processors in the OpenRISC family. It provides a smallest-possible reference system, primarily for testing of the processors. It also provides systems intended to be synthesized and run on physical hardware.
77 397 julius
 
78 425 julius
The reference system is the least complex implementation and consists of just enough to test the processor's functionality. The board-targeted builds include many additional peripherals.
79 397 julius
 
80 425 julius
The next section in this document outlines the organisation and structure of the project. The section ``@emph{Getting Started}'' goes through getting the project source and setting up any necessary tools. Each following section outlines a particular implementation of an OpenRISC-based system, beginning with the reference system. Each implementation section has an overview of the structure of the project (which probably won't vary much between the implementations), a section on setting up the required tools, running simulation, and if applicable, backend and debugging steps. There may be additional sections on modifying or customising each implementation system.
81 397 julius
 
82
@c ****************************************************************************
83
@c Project Organisation
84
@c ****************************************************************************
85
 
86
@node Project Organisation
87
@chapter Project Organisation
88
@cindex organisation of @value{ORPSOC} project
89
 
90
@menu
91
* Overview::
92
* Software::
93
* RTL::
94
* Testbenches::
95
* Reference And Board Designs::
96
@end menu
97
 
98 408 julius
@node Organisation Overview
99
@section Organisation Overview
100 397 julius
 
101 425 julius
The @value{ORPSOC} project is intended to serve dual purposes. One is to act as a development platform for OpenRISC processors, and as a development platform of OpenRISC-based SoCs targeted at specific hardware.
102 397 julius
 
103 425 julius
Organising a single project to satisfy these requirements can lead to some confusion. This section is intended to make the organisation of the project clear.
104 397 julius
 
105 425 julius
The reference implementation based in the root (base directory) of the project contains enough componenets to create a simple OpenRISC-based SoC. Each board build is intended to implement as fully-featured a system as possible, depending on the targeted hardware.
106
 
107
The project is organised in such a way that each board build can use both the reference implementation's RTL modules and software, as well as its own set of RTL and software. The reference implementation is limited to what is available in the RTL and software directories in the root of the project, and is not technology dependent.
108
 
109 397 julius
The following sections outline the organisation of the software, RTL, and board designs.
110
 
111 408 julius
@node Software Organisation
112 397 julius
@section Software
113
 
114 425 julius
The @code{sw} path contains primarily target software (code intended for cross-compilation and execution on an OpenRISC processor.) Thre is also a path, @code{sw/utils} containing custom tools, intended to be run on the host, for manipulation of binary software images.
115 397 julius
 
116 425 julius
Driver software, implementing access functions for hardware modules, are found under @code{sw/drivers}.
117 397 julius
 
118 425 julius
There is a minimal support library under the @code{sw/lib} path. Both drivers and support library are compiled together to create a library called @code{liborpsoc} which is placed in @code{sw/lib}.
119
 
120
All CPU-related functions are made available through the file @code{cpu-utils.h} which is located in @code{sw/lib/include} and depending on the CPU being used, can be used to switch between different CPU driver functions. All CPU drivers are under the @code{sw/drivers} path.
121
 
122 397 julius
Test software is found under @code{sw/tests}. Typically, each is for a specific module, or for a particular capability (eg. tests for the UART capability are under @code{sw/tests/uart}, rather than @code{sw/tests/uart16550} which.) There are no specific rules here.
123
 
124 425 julius
Under each test directory are two directories, @code{board} and @code{sim}, containing appropriate test software. Code for simulation will produce less printfs and aim to execute within realistic timeframes for RTL simulation. Board targeted test software is obviously written with the opposite considerations in mind.
125 397 julius
 
126 425 julius
@node Software Test Naming
127 397 julius
 
128 425 julius
The rules for naming software tests are important to adhere to, so the automation scripts can locate them. The test directory name must be a single word (potentially with underscores), and then the tests must be in files of the format @emph{testdirname}-@emph{testname}.extension, eg. @code{uart-simple.c} or @code{or1200-fp.S}.
129
 
130 468 julius
@xref{Software} for further details.
131
 
132 408 julius
@node RTL Organisation
133 397 julius
@section RTL
134
 
135
The HDL code layout conforms to those outlined in the OpenCores.org coding guidelines. http://cdn.opencores.org/downloads/opencores_coding_guidelines.pdf
136
 
137 425 julius
There are, however, some naming restrictions for this project.
138 397 julius
 
139 425 julius
The directory name (presumably the name of the module, something like @code{uart16550}) should also be the name of the top level file, eg. @code{uart16550.v}, and the top level module should also be simply this name, eg. @code{module uart16550 (...}.
140
 
141
This will avoid confusion and help the scripts locate modules.
142
 
143
@subsection Verilog HDL
144
 
145
All RTL included in the project at this point is Verilog HDL, although it would be fine to add VHDL to a board build.
146
 
147 408 julius
@node Testbench Organisation
148
@section Testbench
149 397 julius
 
150 425 julius
For each design in @value{ORPSOC} there will be a testbench instantiating the top level, and any required peripherals.
151 397 julius
 
152 425 julius
Despite this being far from a thorough verification platform, it is considered useful to be able to perform enough simulation to ensure that the fundamental system is correctly assembled and can communicate with the peripherals.
153 397 julius
 
154 408 julius
@node Organisation of Reference And Board Designs
155 397 julius
@section Reference And Board Designs
156
 
157
The goal of the reference design is to provide an environment to develop and test OpenRISC processors (also, potentially, basic components.) The goal of the various board-targeted designs is to provide ready-to-go implementations for hardware.
158
 
159 425 julius
@subsection Module Selection
160 397 julius
 
161 425 julius
Typically, a board-targeted design will wish to reuse common components (processor, debug interface, Wishbone arbiters, UART etc.)
162 397 julius
 
163 425 julius
The project has been configured so a board build will use modules in the ``common'' RTL path (@code{rtl/verilog/}) @emph{unless} there is a copy in the board's ``local'' RTL path ( @code{boards/vendor/boardname/rtl/verilog}).
164 397 julius
 
165 425 julius
For example, in the event that modification to a module in the common RTL set is required for it to function correctly in a board build, it's advisable to copy that module to the board's @emph{local} RTL path and modify it there. Simulation and backend scripts should then use this board-specific version instead of the one in the common RTL path.
166
 
167
 
168
 
169 397 julius
@c ****************************************************************************
170
@c Getting started
171
@c ****************************************************************************
172
 
173
@node Getting Started
174
@chapter Getting Started
175
@cindex source files for @value{ORPSOC}, downloading
176
 
177
@menu
178
* Supported Platforms::
179
* Obtaining Project Source::
180
* Required Tools::
181
@end menu
182
 
183 408 julius
@node Getting Started Supported Platforms
184 425 julius
@section Supported Host Platforms
185
@cindex host platforms supported by the @value{ORPSOC} project
186 397 julius
 
187
At present the majority of  @value{ORPSOC}'s development occurs with tools that run under the GNU/Linux operating system. All of the tools required to run the basic implementation are free, open source, and easily installable in any modern GNU/Linux distribution.
188
 
189 425 julius
Unless indicated otherwise, support for the project under Cygwin on Microsoft Windows platforms cannot be assumed.
190 397 julius
 
191 408 julius
@node Getting Started Obtaining Project Source
192 397 julius
@section Obtaining Project Source
193
@cindex getting a copy of the @value{ORPSOC} project
194
 
195
The source for @value{ORPSOC} can be obtained from the OpenCores subversion (SVN) server.
196
 
197
@example
198
@kbd{svn export http://opencores.org/ocsvn/openrisc/openrisc/trunk/orpsocv2}
199
@end example
200
 
201 408 julius
@node Getting Started Required Tools
202 397 julius
@section Required Tools
203
@cindex tools and utilities required for @value{ORPSOC}
204
 
205
 
206
Performing the installation of tools required to design, simulate, verify, compile and debug a SoC is not for the faint hearted. The various sets of tools must be first installed, and the user's environment configured to allow them to run correctly.
207
 
208 415 julius
First the host system must be capable of building and running development tools, next the various compilers, simulators and utilities must be installed, and finally, if required, additional tools to interact with the built design are installed. Once complete, the set up rarely needs to be touched, and results in greatly improved productivity.
209 397 julius
 
210
The required tools can be divided into four groups.
211
 
212
@itemize @bullet
213
@item
214
Host system tools - things like gcc, make, texinfo.
215
 
216
@item
217
Target system toolchain and software - the OpenRISC GNU toolchain, with gcc crosscompiler, support libraries, the GNU debugger (gdb), OpenRISC port of various OSes and RTOS, etc.
218
 
219
@item
220
Electronic design automation (EDA) tools - preprocessors, simulators, FPGA tool suites, etc.
221
 
222
@item
223
Debug tools - tools providing control over the system on target
224
@end itemize
225
 
226
The first two items are likely to be the same for most of the designs included in @value{ORPSOC}, however the final two can vary greatly depending on the FPGA vendor, part and configuration, and the application of the SoC design.
227
 
228
There will be a section on the tools for each design in @value{ORPSOC}. This section is intended to provide a list of tools required for each particular build. Any lengthy instructions on installing a particular tool will be attached as an appendix, which can be references by several build prerequisite lists in order to save repetition of information.
229
 
230
Anyone implementing their own board port is encouraged to document, as best they can, any problematic tool installations and contribute them to this document.
231
 
232
 
233
 
234
@c ****************************************************************************
235
@c Reference Design chapter
236
@c ****************************************************************************
237
 
238
@node Reference Design
239
@chapter Reference Design
240
@cindex reference design
241
 
242
@menu
243
* Overview::
244
* Structure::
245
* Tools::
246
* Simulation::
247
* Synthesis::
248
@end menu
249
 
250 408 julius
@node Reference Design Overview
251 468 julius
@section Overview
252 397 julius
 
253 425 julius
The reference design included in @value{ORPSOC} is intended to be the minimal implementation (or thereabouts) of a SoC required to exercise an OpenRISC processor. Very little apart from the processor, memory, debug interface and interconnect modules are instantiated.
254 397 julius
 
255 415 julius
The primary role for this design is to implement a system that an OpenRISC processor can be instantiated in for for development purposes. The automated testing mechanism, capable of compiling, executing and checking software on the design, is used as a method of regression testing for the processor as it is developed. After features are added or modified in the processor, new software tests can be added to the existing suite, checking for the expected functionality and ensuring legacy behavior is also unchanged.
256 397 julius
 
257
The design can be simulated two ways. The first uses the standard event-driven simulators such as Icarus Verilog and Mentor Graphics' Modelsim. The second method involves creating a cycle accurate (C or SystemC) model from the Verilog HDL description using the Verilator tool.
258
 
259
The simulations begin with the desired software image preloaded in memory. For debugging the design, the models provide an interface to the system allowing the GNU debugger to control the target processor in a manner similar to that of physical hardware.
260
 
261
The design is not intended for implementation on an FPGA or ASIC, rather purely for development and testing in simulation environments. The board targeted builds in the @value{ORPSOC} project, however, are intended for implementation on hardware.
262
 
263 408 julius
@node Reference Design Structure
264 468 julius
@section Structure
265 397 julius
 
266
@menu
267
* Overview::
268
* RTL::
269
* Software::
270
* Simulation::
271
@end menu
272
 
273 408 julius
@node Reference Design Overview
274 468 julius
@subsection Overview
275 397 julius
 
276
The reference design's paths are all based in the root directory of @value{ORPSOC}. This is different from the board-targeted builds, which are based in their specific board paths.
277
 
278
As synthesis and physical implementation is not intended for the reference design there are no @code{syn} or @code{backend} paths in the root directory of @value{ORPSOC}.
279
 
280 408 julius
@node Reference Design RTL
281 468 julius
@subsection RTL
282 397 julius
 
283
At present only Verilog HDL is included in the reference implementation of @value{ORPSOC}, as the open source tools intended to simulate the design do not support VHDL.
284
 
285
The directory structure consists of an @code{rtl} directory in the root, and a @code{verilog} path under that. Within the @code{rtl/verilog} path, each module has its own directory.
286
 
287
A common Verilog include path, @code{rtl/verilog/include} directory is used. The Verilog HDL include files for each module should be moved here. This allows each @value{ORPSOC} implementation (board design) to maintain their own include path, and thus configure the modules for their specific implementation.
288
 
289 408 julius
@node Reference Design Software
290 468 julius
@subsection Software
291 397 julius
 
292
The software run on the reference design is found in the @value{ORPSOC} root directory, under the @code{sw} path.
293
 
294
The test software for the or1200 processor is found under @code{sw/tests/or1200/sim}.
295
 
296
A minimal set of drivers is built into @code{liborpsoc}, and they are found under @code{sw/tests/drivers}.
297
 
298
In addition to these drivers, a set of support C functions is build into @code{liborpsoc}, which are found in the @code{sw/lib} path.
299
 
300 408 julius
@node Reference Design Simulation
301 468 julius
@subsection Simulation
302 397 julius
 
303
The simulation of the reference design is run from the @code{sim/run} path.
304
 
305
The script controlling simulation is the file @code{sim/bin/Makefile}.
306
 
307
The generated output is kept in the @code{sim/out} path, and is cleared away when @kbd{make clean} is run.
308
 
309
When the Verilator-processed cycle accurate model is built, it is done in the @code{sim/vlt} path, which is also cleaned away when @kbd{make clean} is run.
310
 
311 408 julius
@node Reference Design Tools
312 468 julius
@section Tools
313 397 julius
 
314
@menu
315
* Host Tools::
316
* Target System Tools::
317
* EDA Tools::
318
* Debug Tools::
319
@end menu
320
 
321 408 julius
@node Reference Design Host Tools
322 468 julius
@subsection Host Tools
323 397 julius
@cindex host tools required
324
 
325
Standard development suite of tools: gcc, make, etc.
326
 
327 408 julius
@node Reference Design Target System Tools
328 468 julius
@subsection Target System Tools
329 397 julius
@cindex target system tools required
330
 
331
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
332
 
333 408 julius
@node Reference Design EDA Tools
334 468 julius
@subsection EDA Tools
335 397 julius
@cindex EDA tools required
336
 
337
RTL simulation: Icarus Verilog (also compatible with Mentor Graphics' Modelsim)
338
Cycle Accurate Simulation: Verilator, Verilog-Perl, System-Perl, SystemC
339
 
340 408 julius
@node Reference Design Debug Tools
341 468 julius
@subsection Debug Tools
342 397 julius
@cindex Debug tools required
343
 
344
None. The target is purely simulation, no extra utilities are required to debug.
345
 
346
 
347 408 julius
@node Reference Design Simulation
348 468 julius
@section Simulation
349 397 julius
 
350
@menu
351
* RTL::
352
* Cycle Accurate::
353
* Results::
354
@end menu
355
 
356 408 julius
@node Reference Design RTL
357 468 julius
@subsection RTL
358 397 julius
@cindex rtl simulation of reference design
359
 
360
All simulations of the reference design are run from the @code{sim/run} path.
361
 
362 468 julius
@subheading Running RTL Regression Test
363 397 julius
 
364
The simplest way of starting a run through the regression test, using the default RTL simulator, Icarus Verilog, can be done with:
365
 
366
@example
367
@kbd{make rtl-tests}
368
@end example
369
 
370 408 julius
This will compile the software and RTL, and run a new simulation for each software test. Defining which tests are run is the variable @code{TESTS}, set by default in the @code{sw/bin/Makefile} script. Other default options are that a processor execution log is generated (in @code{sim/out/@emph{testname}-executed.log}), but VCDs are not.
371 397 julius
 
372 468 julius
@subheading Running An Individual Test
373 397 julius
 
374
An individual test can be run, by specifying the test name through the @code{TEST} environment variable (which must correspond to a file in @code{sw/tests/@emph{module}/sim/} where @code{@emph{module}} is the name of the module to be tested. In the following example the test @emph{or1200-basic} is run.
375
 
376
@example
377
@kbd{make rtl-test TEST=or1200-basic}
378
@end example
379
 
380 408 julius
@node Running A Set Of Specific Reference Design RTL Tests
381 468 julius
@subheading Running A Set Of Specific Tests
382 397 julius
 
383
A specific set of tests can be run in the same fashion as the regression tests but with the actual tests to run set in the @code{TESTS} environment variable.
384
 
385
@example
386
@kbd{make rtl-tests TESTS="sdram-rows uart-simple or1200-mmu or1200-fp"}
387
@end example
388
 
389 468 julius
@node Providing A Precompiled ELF Executable
390
@subheading Providing A Precompiled ELF Executable
391
 
392
It's possible to specify the path to an OR32 ELF executable to be run in simulation instead of test software. Use the @code{USER_ELF} environment variable to specify the path to the ELF to run.
393
 
394
@example
395
@kbd{make rtl-test USER_ELF=/path/to/myapp.elf}
396
@end example
397
 
398
The ELF will be converted to binary format, and then converted to a VMEM to be
399
loaded into the model for execution at runtime.
400
 
401
@node Providing A Custom VMEM Image
402
@subheading Providing A Custom VMEM Image
403
 
404
It's possible to specify the path to a pre-existing VMEM image to be run in simulation instead of test software. Use the @code{USER_VMEM} environment variable to specify the path to the VMEM image to be run.
405
 
406
@example
407
@kbd{make rtl-test USER_VMEM=/path/to/myapp.vmem}
408
@end example
409
 
410
This VMEM file will be copied into the working directory, and renamed according to what the simulated memory expects.
411
 
412 408 julius
@node Options For Reference Design RTL Tests
413 468 julius
@subheading Options For RTL Tests
414 397 julius
 
415
There are some options, which can be specified through shell environment variables when running the test.
416
 
417
@table @code
418
 
419
@item VCD
420 408 julius
Set to '1' to enable @emph{value change dump} (VCD) creation in @code{sim/out/@emph{testname}.vcd}
421 397 julius
 
422
@item VCD_DELAY
423
Delay VCD creation start time by this number of timesteps (used as a Verilog @code{#delay} in the testbench.)
424
 
425
@item VCD_DELAY_INSNS
426
Delay VCD creation start time until this number of instructions has been executed by the processor. Useful for creating a dump just before a bug exhibited and correlated to an instruction number (from execution trace file.)
427
 
428
@item END_TIME
429
Force simulation end (@code{$finish}) at this time.
430
 
431
@item DISABLE_PROCESSOR_LOGS
432
Turn off processor monitor's execution trace generation. This helps speed up the simulation (less time writing to files) and avoids creating very large execution logs (in the GBs) for very long simulations.
433
 
434
@item SIMULATOR
435
Specify simulator to use. Default is Icarus Verilog, can be set to @code{modelsim} to use Mentor Graphics' Modelsim. No others are supported right now.
436
 
437 485 julius
@item MGC_NO_VOPT
438
When using Modelsim (specifying @code{SIMULATOR=modelsim}), if the version does not include the individual @code{vopt} executable, specify @code{MGC_NO_VOPT=1} when compiling.
439
 
440 397 julius
@end table
441
 
442
 
443
 
444 485 julius
 
445
 
446 408 julius
@node Reference Design Cycle Accurate
447 468 julius
@subsection Cycle Accurate
448 397 julius
@cindex cycle accurate simulation of reference design
449
 
450 468 julius
@subheading Running Cycle Accurate Regression Test
451 397 julius
 
452
The simplest way of starting a run through the regression test using the cycle accurate model can be done with:
453
 
454
@example
455
@kbd{make vlt-tests}
456
@end example
457
 
458
This will build the cycle accurate model and run a new simulation for each software test. Defining which tests are run is the variable @code{TESTS}, set by default in the @code{sw/bin/Makefile} script.
459
 
460 468 julius
@subheading Running An Individual Test
461 397 julius
 
462
An individual test can be run, by specifying the test name through the @code{TEST} environment variable (which must correspond to a file in @code{sw/tests/@emph{module}/sim/} where @code{@emph{module}} is the name of the module to be tested. In the following example the test @emph{or1200-basic} is run.
463
 
464
@example
465
@kbd{make vlt-test TEST=or1200-basic}
466
@end example
467
 
468 468 julius
@subheading Generating Cycle Accurate Simulator Executable
469 397 julius
 
470
The cycle accurate model is somewhat similar to the OpenRISC architectural simulator, in that it can be run as a standalone application, although it is not as configurable at runtime. The standalone application can be built with the following command from the @code{sim/run} path.
471
 
472
@example
473
@kbd{make prepare-vlt}
474
@end example
475
 
476
The resulting executable will be @emph{Vorpsoc_top} in the @code{sim/vlt} path. Run it with the @emph{-h} option for usage instructions.
477
 
478 468 julius
@subheading Generating Automatically Profiled Cycle Accurate Simulator Executable
479 397 julius
 
480
An automatic profiling and compilation set of commands in the script can be used to create a higher performance cycle accurate model. The following make target will first compile the cycle accurate design to generate profiling outputs, run some software, and recompile using the profiling information.
481
 
482
@example
483
@kbd{make prepare-vlt-profiled}
484
@end example
485
 
486 468 julius
@subheading Cycle Accurate Model Executable Usage
487 397 julius
 
488
The executable generated by running any of the above commands is in the @code{sim/vlt} path. The usage options can be listed by running it with the @code{--help} switch.
489
 
490
@example
491
@kbd{Vorpsoc_top --help}
492
@end example
493
 
494
A short list of options is given here.
495
 
496
@table @code
497
 
498
@item -f @var{file}
499
@itemx --program @var{file}
500
@cindex @code{-f}
501
@cindex @code{--program}
502
Load software from OR32 ELF image @var{file}
503
 
504
If unspecified, model attempts to load VMEM file @code{sram.vmem}
505
 
506
@item -v
507
@itemx --vcd
508
@cindex @code{-v}
509
@cindex @code{--vcd}
510
Dump VCD file
511
 
512
@item -e @var{value}
513
@itemx --endtime @var{value}
514
@cindex @code{-e}
515
@cindex @code{--endtime}
516
End simulation after @var{value} simulated ns
517
 
518
@item -l @var{file}
519
@itemx --log @var{file}
520
@cindex @code{-l}
521
@cindex @code{--log}
522
Log processor execution trace to @var{file}
523
 
524
@end table
525
 
526 408 julius
@node Reference Design Results
527 468 julius
@subsection Results
528 397 julius
@cindex output from simulation of reference design
529
 
530 415 julius
The following files are generated from the event driven simulation. For output options of the cycle accurate model, see the section on Cycle Accurate Model Executable Usage.
531 397 julius
 
532 468 julius
@subheading Processor Execution Trace
533 397 julius
 
534
A trace of the processor after each executed instruction is generated by both the event and cycle accurate models.
535
 
536
In the event driven simulations, the log is created by default, and is stored in @code{sim/out} and will be named @code{@emph{test-name}-executed.log}.
537
 
538 468 julius
@subheading Processor SPR Access Log
539 397 julius
 
540
A list of processor special purpose registers (SPR) accesses is created when processor logging is enabled.
541
 
542
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-sprs.log}.
543
 
544 468 julius
@subheading Processor Instruction Excecution Time Log
545 397 julius
 
546
A list of when each instruction was executed is generated when processor execution logging is enabled.
547
 
548
This is useful when debugging with VCD, and detecting at what time the problematic instructions were executed.
549
 
550
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-lookup.log}.
551
 
552 468 julius
@subheading Processor Report Mechanism Log
553 397 julius
 
554
The use of the processor's report mechanism is commonplace in the test software, as it allows for the checking of intermediate values after simulation.
555
 
556
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-general.log}. This is not optional.
557
 
558 468 julius
@subheading Value Change Dump (VCD)
559 397 julius
 
560
When VCD files are generated they are placed in the @code{sim/out} path, and are named @code{@emph{test-name}.vcd}. They should be viewable with programs like @emph{GTKWave}.
561
 
562
 
563 408 julius
@node Reference Design Synthesis
564 468 julius
@section Synthesis
565 397 julius
 
566
The reference design is not intended to be synthesised, and thus no backend scripts are provided. See the sections on the board-specific builds.
567
 
568
 
569
@c ****************************************************************************
570 408 julius
@c ORDB1A3PE1500 board build chapter
571 397 julius
@c ****************************************************************************
572
 
573 408 julius
@node ORDB1A3PE1500
574
@chapter ORDB1A3PE1500
575
@cindex ORDB1A3PE1500 board build information
576 397 julius
 
577
@menu
578
* Overview::
579
* Structure::
580
* Tools::
581
* Simulating::
582 408 julius
* Synthesis and Backend::
583
* Programming File Generation::
584
* Customising::
585 397 julius
@end menu
586
 
587 408 julius
@node ORDB1A3PE1500 Overview
588 468 julius
@section Overview
589 397 julius
 
590 408 julius
The ORDB1 (ORSoC development board 1) with Actel A3PE1500 FPGA is supported by this build.
591 397 julius
 
592 408 julius
As the ORDB1 is intended to be a daughter board for a variety of I/O boards its options for configuration are extensive.
593
 
594
This board port of ORPSoC implements an example of a configurable system, with many cores that can be enabled or disabled as required by the expansion board's capabilities.
595
 
596 415 julius
The port was mainly developed with the ORSoC Ethernet expansion board (OREEB1), and was used with the OpenRISC port of the Linux kernel and BusyBox suite running network applications.
597 408 julius
 
598
This guide will overview how to simulation, synthesize and customise the system.
599
 
600
@node ORDB1A3PE1500 Structure
601 468 julius
@section Structure
602 397 julius
 
603 408 julius
Note that in this chapter the term @emph{board path} refers to the path in the project for this board port; @code{boards/actel/ordb1a3pe1500}.
604 397 julius
 
605 408 julius
The board port's structure is similar to that of a standalone project which accords with the OpenCores coding guidelines. However, all software and RTL that is available in the reference design is also available to the board port, with any local (ie. in the board's @code{rtl} or @code{sw} paths) versions taking precedence over the versions available in the reference design.
606
 
607
The Verilog RTL specific to this board is under @code{rtl/verilog} in the board path. The @code{include} path in there is the place where all required definitions files, configuring the RTL, are found.
608
 
609
Backend files, things such as PLLs and buffers generated by Actel's @emph{smartgen} tool, are found in the board's @code{backend/rtl/verilog} path.
610
 
611
@node ORDB1A3PE1500 Tools
612 468 julius
@section Tools
613 397 julius
 
614
@menu
615
* Host Tools::
616
* Target System Tools::
617
* EDA Tools::
618
* Debug Tools::
619
@end menu
620
 
621 408 julius
@node ORDB1A3PE1500 Host Tools
622 468 julius
@subsection Host Tools
623 408 julius
@cindex host tools required ORDB1A3PE1500
624 397 julius
 
625
Standard development suite of tools: gcc, make, etc.
626
 
627 408 julius
@node ORDB1A3PE1500 Target System Tools
628 468 julius
@subsection Target System Tools
629 408 julius
@cindex target system tools required ORDB1A3PE1500
630 397 julius
 
631
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
632
 
633 408 julius
@node ORDB1A3PE1500 EDA Tools
634 468 julius
@subsection EDA Tools
635 408 julius
@cindex EDA tools required ORDB1A3PE1500
636 397 julius
 
637
RTL, gatelevel simulation: Mentor Graphics' Modelsim
638
Synthesis: Synopsys Synplify (included in Actel Libero Suite)
639
Backend: Actel Designer (included in Actel Libero Suite)
640
Programming: Actel FlashPRO (included in Actel Libero Suite)
641
 
642 439 julius
This has been tested with with Libero v8.6 and v9.0sp1 under Ubuntu Linux.
643 408 julius
 
644
@node ORDB1A3PE1500 Debug Tools
645 468 julius
@subsection Debug Tools
646 408 julius
@cindex Debug tools required ORDB1A3PE1500
647 397 julius
 
648
or_debug_proxy, ORPmon
649
 
650 408 julius
@node ORDB1A3PE1500 Simulating
651 468 julius
@section Simulating
652 408 julius
@cindex simulating ORDB1A3PE1500
653 397 julius
 
654 468 julius
@subheading Run RTL Regression Test
655 408 julius
 
656
To run the default set of regression tests for the build, run the following command in the board's @code{sw/run} path.
657
 
658
@example
659
@kbd{make rtl-tests}
660
@end example
661
 
662 425 julius
The same set of options for RTL tests available in the reference design should be available in this build. @xref{Running A Set Of Specific Reference Design RTL Tests}.
663 408 julius
 
664 409 julius
Options specific to the ORDB1A3PE1500 build.
665
 
666
@table @code
667
 
668
@item PRELOAD_RAM
669
Set to '1' to enable loading of the software image into RAM at the beginning of simulation.
670
 
671
If the chosen bootROM program (set via a define in software header file in the board's @code{sw/board/include} path) will jump straight to RAM to begin execution, then the software image will need to be in RAM for the simulation to work. This define @emph{must} be used in that case for the simulation to do anything.
672
 
673
 
674
@end table
675
 
676
 
677
 
678 408 julius
@node ORDB1A3PE1500 Synthesis
679 468 julius
@section Synthesis
680 408 julius
 
681
Synthesis of the board port for the Actel technology with the Synplify tool can be run in the board's @code{syn/synplify/run} path with the following command.
682
 
683
@example
684
@kbd{make all}
685
@end example
686
 
687
This will create a EDIF netlist in @code{syn/synplify/out}.
688
 
689
Hopefully it's all automated enough so that, as long as the design is simulating as desired, the correct set of RTL will be picked up and synthesized without any need for customising scripts for the tool.
690
 
691
@node ORDB1A3PE1500 Synthesis Options
692 468 julius
@subsection Options
693 408 julius
 
694
The following can be passed as environment variables when running @kbd{make all}.
695
 
696
@table @code
697
 
698
@item RTL_TOP
699
Default's to the designs top level module, @emph{orpsoc_top}, but if wishing to synthesize a particular module, its name (not instantiated name) should be set here.
700
 
701
@item FPGA_PART
702
Defaults to A3PE1500 but if targeting any other set it with this.
703
 
704
@item FPGA_FAMILY
705
Defaults to the A3PE1500's @emph{ProASIC3E} but if targeting any other set it with this.
706
 
707
@item FPGA_PACKAGE
708
Defaults to PQFP208 but if targeting any other set it with this.
709
 
710
@item FPGA_SPEED_GRADE
711
Defaults to Std but if targeting any other set it with this.
712
 
713
@item FREQ
714
Target frequency for synthesis.
715
 
716
@item MAXFAN
717
Maximum net fanout.
718
 
719
@item MAXFAN_HARD
720
Hard limit on maximum net fanout.
721
 
722
@item GLOBALTHRESH
723
Threshold of fanout before promoting signal to a global routing net.
724
 
725
@item RETIMING
726
Defaults to '1' (on) but set to '0' to disable.
727
 
728
@item RESOURCE_SHARING
729
Defaults to '1' (on) but set to '0' to disable.
730
 
731
@item DISABLE_IO_INSERTION
732
Defaults to '0' (off) but set to '1' to enable. Useful when synthesizing individual modules not intended as a top level.
733
 
734
@end table
735
 
736
@node ORDB1A3PE1500 Synthesis Warnings
737 468 julius
@subsection Checks
738 408 julius
 
739
The following is a list of some considerations before synthesis.
740
 
741
@itemize @bullet
742
@item bootrom.v
743
 
744 415 julius
If the bootROM module is being used to provide the processor with a program at startup, check that board software include file, in the board's @code{sw/board/include} path, is selecting the correct bootROM program.
745 408 julius
 
746 449 julius
Do a @kbd{make distclean} from the synthesis run directory to be sure that the previous bootROM file is cleared away and regenerated when synthesis is run.
747 408 julius
 
748
 
749
@item Clean away old leftovers
750
 
751
If the unwanted files from an old synthesis run are still there before the next run, it's best to clean them away with @kbd{make clean} from the synthesis run directory.
752
 
753
 
754
@item Check Command Line Options
755
 
756
If using any command line settings, they can be checked by passing them to the following make target: @kbd{make print-config}
757
 
758
 
759
@end itemize
760
 
761
@node ORDB1A3PE1500 Place and Route
762 468 julius
@section Place and Route
763 408 julius
 
764
Place and route is run from the board's @code{backend/par/run} path with the following command.
765
 
766
@example
767
@kbd{make all}
768
@end example
769
 
770
This will create a @code{.adb} file in the same path.
771
 
772 439 julius
All steps, up to and including programming file generation are done here. FPGA device programming must be done using the programming FlashPro tool under Windows if using a free license.
773 408 julius
 
774
@node ORDB1A3PE1500 Place and route options
775 468 julius
@subsection Options
776 408 julius
 
777 439 julius
Most of the design's parameters are determined by processing the @code{orpsoc-defines.v} file and extracting, for example, the frequency of the clocks entering the design.
778 408 julius
 
779
The following can be passed as environment variables when running @kbd{make all}.
780
 
781
@table @code
782
 
783
@item FPGA_PART
784
Defaults to A3PE1500 but if targeting any other set it with this.
785
 
786
@item FPGA_FAMILY
787
Defaults to the A3PE1500's @emph{ProASIC3E} but if targeting any other set it with this.
788
 
789
@item FPGA_PACKAGE
790
Defaults to ``208 PQFP'' but if targeting any other set it with this.
791
 
792
 
793
@item FPGA_SPEED_GRADE
794
Defaults to Std but if targeting any other set it with this.
795
 
796
@item FPGA_VOLTAGE
797
Defaults to 1.5 but if targeting any other set it with this.
798
 
799
@item FPGA_TEMP_RANGE
800
Defaults to COM but if targeting any other set it with this.
801
 
802
@item FPGA_VOLT_RANGE
803
Defaults to COM but if targeting any other set it with this.
804
 
805
@item PLACE_INCREMENTAL
806
Defaults to off.
807
 
808
@item ROUTE_INCREMENTAL
809
Defaults to off.
810
 
811
@item PLACER_HIGH_EFFORT
812
Defaults to off.
813
 
814
@item BOARD_CONFIG
815
Defaults to @code{orsoccpuexpio.mkpinassigns}
816
 
817
@end table
818
 
819
@node ORDB1A3PE1500 Constraints
820 468 julius
@subsection Constraints
821 408 julius
 
822
 
823
A @emph{synposys design constraints} (SDC) file, and @emph{physical design constraints} (PDC) file are generated automatically by the scripts. The main Verilog defines file is parsed to detect which modules are included in the design, and generates the appropriate constraints which are embedded in the Makefile.
824
 
825
 
826
The PDC relies on the @code{BOARD_CONFIG} environment variable to indicate which pin assignment file to pull into the Makefile (they live in @code{backend/par/bin}). The PDC also depends on the actual contents of the main place and route Makefile, @code{backend/par/bin/Makefile}.
827
 
828
 
829
By default these should have support for the peripherals included with ORPSoC. Double check, however, that the correct constraints are set, by running the following command before starting place and route.
830
 
831
@example
832
@kbd{make pdc-file sdc-file}
833
@end example
834
 
835
These can be generated and edited and then used when running place and route, they will not get replaced.
836
 
837
@node ORDB1A3PE1500 Programming File Generation
838 468 julius
@section Programming File Generation
839 408 julius
 
840
The @code{.adb} file resulting from place and route can be opened in the Actel @emph{Designer} tool and a programming file generated there.
841
 
842
Once this programming file is created, Actel's @emph{FlashPro} can used to program the ORDB1A3PE1500 board.
843
 
844
@node ORDB1A3PE1500 Customising
845 468 julius
@section Customising
846 408 julius
 
847
The versatile nature of the ORDB1A3PE1500 means the design that goes on it must be equally versatile, if not more so.
848
 
849
The following sections have information on how to configure the design.
850
 
851
@node ORDB1A3PE1500 Customising Enabling Existing Modules
852 468 julius
@subsection Enabling Existing RTL Modules
853 408 julius
 
854
The design relies on the Verilog HDL @emph{define} function to indicate which modules are included.
855
 
856 415 julius
There are only a few modules included by default.
857 408 julius
 
858
@itemize @bullet
859
@item Processor - @emph{or1200}
860
@item Clock and reset generation - @emph{clkgen}
861
@item Bus arbiters - @emph{arbiter_ibus}, @emph{arbiter_dbus}, @emph{arbiter_bytebus}
862
@end itemize
863
 
864
The rest are optional, depending on what is defined in the board's @code{rtl/verilog/include/orpsoc-defines.v} file.
865
 
866
Inspect that file to see which modules are able to be included. At present the list includes USB 1.1 host controller and/or slave interface, I2C master/slave core, and SPI master cores.
867
 
868 415 julius
These cores should be supported and ready to go by just defining them (uncomment in the @code{orspco-defines.v} file.)
869 408 julius
 
870
@node ORDB1A3PE1500 Customising Adding Modules
871 468 julius
@subsection Adding RTL Modules
872 408 julius
 
873
There are a number of steps to take when adding a new module to the design.
874
 
875
@itemize @bullet
876
@item RTL Files
877
 
878
Create a directory under the board's @code{rtl/verilog} directory, and name it the same as the top level of the module.
879
 
880
Ensure the module's top level file and actual name of the module when it will be instantiated are @emph{all the same}.
881
 
882
Place any include files into the board's @code{rtl/verilog/include} path.
883
 
884
@item Instantiate in ORPSoC Top Level File
885
 
886
Instantiate the module in the ORPSoC top level file, @code{rtl/verilog/orpsoc_top/orpsoc_top.v}, and be sure to take care of the following.
887
@itemize @bullet
888
@item Create appropriate @emph{`define} in @code{orpsoc-defines.v} and surround module instantiation with it.
889
@item Add required I/Os (surrounded by appropriate @emph{`ifdef })
890
@item Attach to appropriate bus arbiter, declaring any signals required. Be sure to tie them off if modules is not included.
891
@item Update appropriate bus arbiter (in board's @code{rtl/verilog/arbiters} path) adding (uncommenting) additional ports as needed.
892
@item Update board's @code{rtl/verilog/include/orpsoc-params.v} file with appropriate set of parameters for new module, as well as arbiter memory mapping assignment.
893
@item Attach appropriate clocks and resets, modify the board's @code{rtl/verilog/clkgen/clkgen.v} file generating appropriate clocks if required.
894
@item Attach any interrupts to the processor's PIC vector in, assigned as the last thing in the file.
895
@end itemize
896
 
897
@item Update ORPSoC Testbench
898
 
899
Update the board's @code{bench/verilog/orpsoc_testbench.v} file with appropriate ports (surrounded by appropriate @emph{`ifdef}.)
900
 
901
Add any desired models to help test the module to the board's @code{bench/verilog} path and instantiate it correctly in the testbench.
902
 
903
@item Add Software Drivers and Tests
904
 
905
In a similar fashion to what is already in the board's @code{sw/drivers} and @code{sw/tests} path, create desired driver and test software to be used during simulation (and potentially on target.)
906
 
907
@item Update Backend Scripts
908
 
909 415 julius
If any I/O is added, or special timing specified, the board's backend main Makefile, @code{backend/par/bin/Makefile} and pinout files (in @code{backend/par/bin} will need to be updated.
910 408 julius
 
911
The section in @code{backend/par/bin/Makefile} mapping signals to Makefile variables will need to have these new signals added to them. The section in the file begins with @code{$(PDC_FILE):} and is actually a set of long bash lines.
912
 
913 415 julius
Continuing the format already there should be easy enough. Remember that the @code{orspoc-defines.v} file is parsed and it's possible to tell if the module is included by testing if the variable is defined.
914 408 julius
 
915
For example, to add I/Os for a module called @code{foo}, and in @code{orpsoc-defines.v} a value @code{FOO1} is defined, we can add I/Os @code{foo1_srx_i} and @code{foo1_tx_o[3:0]} with the following.
916
 
917
@example
918
@kbd{   $(Q)if [ ! -z $$FOO1 ]; then \
919
        echo "set_io foo1_srx_i " $(FOO_SRX_BUS_SETTINGS) " \
920 410 julius
        -pinname "$(FOO1_SRX_PIN) >> $@@; \
921 408 julius
        echo "set_io foo1_tx_o\\[0\\] " $(FOO_TX_BUS_SETTINGS) " \
922 410 julius
         -pinname "$(FOO1_TX0_PIN) >> $@@; \
923 408 julius
        echo "set_io foo1_tx_o\\[1\\] " $(FOO_TX_BUS_SETTINGS) "  \
924 410 julius
        -pinname "$(FOO1_TX1_PIN) >> $@@; \
925 408 julius
        echo "set_io foo1_tx_o\\[2\\] " $(FOO_TX_BUS_SETTINGS) "  \
926 410 julius
        -pinname "$(FOO1_TX2_PIN) >> $@@; \
927 408 julius
        echo "set_io foo1_tx_o\\[3\\] " $(FOO_TX_BUS_SETTINGS) "  \
928 410 julius
        -pinname "$(FOO1_TX3_PIN) >> $@@; \
929
fi
930 408 julius
       }
931
@end example
932
 
933
@emph{(ensure there is no whitespace after the trailing backslashes.)}
934
 
935
It's a little hard to follow, but it's essentially one @code{set_io} line for each I/O line.
936
 
937
First the line checks if the module's define was exported (which happens automatically if it's defined in @code{orpsoc-defines.v}.
938
 
939
Note that what is defined can be checked by running @kbd{make print-defines} in the board's @code{backend/par/run} path.
940
 
941
The values of the bus settings variables depend on the desired I/O standards and other examples in the Makefile can be referenced.
942
 
943 415 julius
The pin numbers need to be set in the @code{.mkpinassigns} which is included into the Makefile (according to the @code{BOARD_CONFIG} variable set when running the @code{make} command.)
944 408 julius
 
945
These files are simple assignments of values to variables (and potentially then to other variables) which correspond to the variables finally used in the main Makefile.
946
 
947
The physical constraints file can be generated manually with the @kbd{make pdc-file} command.
948
 
949
@end itemize
950
 
951 415 julius
@c ****************************************************************************
952
@c ML501 board build chapter
953
@c ****************************************************************************
954 408 julius
 
955 415 julius
@node ML501
956
@chapter ML501
957
@cindex ML501 board build information
958 408 julius
 
959 415 julius
@menu
960
* Overview::
961
* Structure::
962
* Tools::
963
* Simulating::
964
* Synthesis and Backend::
965
* Programming File Generation::
966
* Customising::
967
* Running And Debugging Software::
968
@end menu
969 408 julius
 
970 415 julius
@node ML501 Overview
971 468 julius
@section Overview
972 408 julius
 
973 415 julius
The Xilinx ML501 board contains a Virtex LX50 part, varied memories and peripherals. See Xilinx's site for specific details:
974
 
975
http://www.xilinx.com/products/devkits/HW-V5-ML501-UNI-G.htm
976
 
977
Not all peripherals are supported, and adding support for each is a goal.
978
 
979
At present the build contains a memory controller for the DDR2 SDRAM (based around a Xilinx MIG derived controller) and SSRAM. None of the other peripherals (VGA/AC97/PS2/USB/LCD) have controllers in the design yet.
980
 
981
The OpenCores 10/100 Ethernet MAC can be used for Ethernet, but still has some bugs to do with memory access, although it appears to be using the RGMII interface to the 10/10/1000 PHY on the ML501 OK.
982
 
983
The project is configured to generate either a @code{.bit} file for direct programming via JTAG, or a @code{.mcs} file with inbuilt bootloader software for the processor, meaning the board can be powered up and an application like ORPmon loaded without having to reprogram it from iMPACT between power cycles.
984
 
985
This guide is far from complete, but provides the basics on running simulations, and building the design.
986
 
987
@node ML501 Structure
988 468 julius
@section Structure
989 415 julius
 
990
Note that in this chapter the term @emph{board path} refers to the path in the project for this board port; @code{boards/xilinx/ml501}.
991
 
992
The board port's structure is similar to that of a standalone project which accords with the OpenCores coding guidelines. However, all software and RTL that is available in the reference design is also available to the board port, with any local (ie. in the board's @code{rtl} or @code{sw} paths) versions taking precedence over the versions available in the reference design.
993
 
994
The Verilog RTL specific to this board is under @code{rtl/verilog} in the board path. The @code{include} path in there is the place where all required definitions files, configuring the RTL, are found.
995
 
996
Backend files, mainly binary NGC files for mapping, are found in the board's @code{backend/bin} path.
997
 
998 425 julius
@node ML501 XILINX_PATH
999 468 julius
@subsection ML501 XILINX_PATH
1000 425 julius
 
1001 415 julius
Be sure to set the environment variable @code{XILINX_PATH} to the path of the ISE path on the host machine. This can be done with something like @kbd{export XILINX_PATH=/software/xilinx_11.1/ISE} and additionally a symbolic link to the Verilog simulation library sources will be required - see the simulation section on this. Note that it helps to add the @code{XILINX_PATH} variable to the user's @code{.bashrc} script or similar to save setting it each time a new shell is opened.
1002
 
1003
If the @code{XILINX_PATH} variable is not set correctly, the makefiles will not run.
1004
 
1005
@node ML501 Tools
1006 468 julius
@section Tools
1007 415 julius
 
1008
@menu
1009
* Host Tools::
1010
* Target System Tools::
1011
* EDA Tools::
1012
* Debug Tools::
1013
@end menu
1014
 
1015
@node ML501 Host Tools
1016 468 julius
@subsection Host Tools
1017 415 julius
@cindex host tools required ML501
1018
 
1019
Standard development suite of tools: gcc, make, etc.
1020
 
1021
@node ML501 Target System Tools
1022 468 julius
@subsection Target System Tools
1023 415 julius
@cindex target system tools required ML501
1024
 
1025
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
1026
 
1027
@node ML501 EDA Tools
1028 468 julius
@subsection EDA Tools
1029 415 julius
@cindex EDA tools required ML501
1030
 
1031
RTL, gatelevel simulation: Mentor Graphics' Modelsim
1032
Synthesis: XST (from Xilinx ISE)
1033
Backend: ngdbuild/map/par/bitgen/promgen, etc. (from Xilinx ISE)
1034
Programming: iMPACT (from Xilinx ISE)
1035
 
1036 439 julius
This has been tested with Xilinx ISE 11.1 under Ubuntu Linux.
1037 415 julius
 
1038
 
1039
@node ML501 Debug Tools
1040 468 julius
@subsection Debug Tools
1041 415 julius
@cindex Debug tools required ML501
1042
 
1043
or_debug_proxy, ORPmon
1044
 
1045
@node ML501 Simulating
1046 468 julius
@section Simulating
1047 415 julius
@cindex simulating ML501
1048
 
1049 425 julius
Ensure the @code{XILINX_PATH} environment variable is set correcetly. @xref{ML501 XILINX_PATH} for information.
1050 415 julius
 
1051 425 julius
Note that if this path is not set, simulations will not compile.
1052 415 julius
 
1053 468 julius
@subheading Run RTL Regression Test
1054 415 julius
 
1055
To run the default set of regression tests for the build, run the following command in the board's @code{sw/run} path.
1056
 
1057
@example
1058
@kbd{make rtl-tests}
1059
@end example
1060
 
1061 425 julius
The same set of options for RTL tests available in the reference design should be available in this build. @xref{Running A Set Of Specific Reference Design RTL Tests}.
1062 415 julius
 
1063
Options specific to the ML501 build.
1064
 
1065
@table @code
1066
 
1067
@item PRELOAD_RAM
1068
Set to '1' to enable loading of the software image into RAM at the beginning of simulation.
1069
 
1070
If the chosen bootROM program (set via a define in software header file in the board's @code{sw/board/include} path) will jump straight to RAM to begin execution, then the software image will need to be in RAM for the simulation to work. This define @emph{must} be used in that case for the simulation to do anything.
1071
 
1072
 
1073
@end table
1074
 
1075
 
1076
 
1077
@node ML501 Synthesis
1078 468 julius
@section Synthesis
1079 415 julius
 
1080
Synthesis of the board port for the Actel technology with the Synplify tool can be run in the board's @code{syn/xst/run} path with the following command.
1081
 
1082
@example
1083
@kbd{make all}
1084
@end example
1085
 
1086
This will create an NGC file in @code{syn/xst/run} named @code{orpsoc.ngc}.
1087
 
1088
Hopefully it's all automated enough so that, as long as the design is simulating as desired, the correct set of RTL will be picked up and synthesized without any need for customising scripts for the tool.
1089
 
1090
@node ML501 Synthesis Options
1091 468 julius
@subsection Options
1092 415 julius
 
1093
Use the following command int the @code{syn/xst/run} path to get a list of the variables used during synthesis. Any can be set on the command line when running @code{make all}.
1094
 
1095
@example
1096
@kbd{make print-config}
1097
@end example
1098
 
1099
 
1100
@node ML501 Synthesis Warnings
1101 468 julius
@subsection Checks
1102 415 julius
 
1103
The following is a list of some considerations before synthesis.
1104
 
1105
@itemize @bullet
1106
@item bootrom.v
1107
 
1108
If the bootROM module is being used to provide the processor with a program at startup (reset address in processor's define file is set to @code{0xf0000100} or similar), check that board software include file, in the board's @code{sw/board/include} path, is selecting the correct bootROM program.
1109
 
1110 449 julius
Do a @kbd{make distclean} from the synthesis run directory to be sure that the previous bootROM file is cleared away and regenerated when synthesis is run.
1111 415 julius
 
1112
 
1113
@item Clean away old leftovers
1114
 
1115
If the unwanted files from an old synthesis run are still there before the next run, it's best to clean them away with @kbd{make clean} from the synthesis run directory.
1116
 
1117
 
1118
 
1119
@end itemize
1120
 
1121
@node ML501 Synthesised Netlist
1122 468 julius
@subsection Netlist generation
1123 415 julius
 
1124
To create a Verilog HDL netlist of the post-synthesis design, run the following in the board's @code{syn/xst/run} path.
1125
 
1126
@example
1127
@kbd{make orpsoc.v}
1128
@end example
1129
 
1130
@node ML501 Place and Route
1131 468 julius
@section Place and Route
1132 415 julius
 
1133
Place and route of the design can be run from the board's @code{backend/par/run} path with the following command.
1134
 
1135
@example
1136
@kbd{make orpsoc.ncd}
1137
@end example
1138
 
1139
@node ML501 Timing Report
1140 468 julius
@section Post-PAR STA Report
1141 415 julius
 
1142
The @code{trce} tool can be used to generate a timing report of the post-place and route design.
1143
 
1144
@example
1145
@kbd{make timingreport}
1146
@end example
1147
 
1148
@node ML501 Back-annotated Netlist
1149 468 julius
@section Back-annotated Netlist
1150 415 julius
 
1151
A post-PAR back-annotated netlist can be generated with the following command.
1152
 
1153
@example
1154
@kbd{make netlist}
1155
@end example
1156
 
1157
This will make a new directory under the board's @code{backend/par/run} path named @code{netlist} and will contain a Verilog netlist and SDF file with timing information.
1158
 
1159
 
1160
@node ML501 Place and route options
1161 468 julius
@subsection Options
1162 415 julius
 
1163
To get a list of options that can be set when running the backend flow, run the following in the board's @code{backend/par/run} path.
1164
 
1165
@example
1166
@kbd{make print-config}
1167
@end example
1168
 
1169
@node ML501 Constraints
1170 468 julius
@subsection Constraints
1171 415 julius
 
1172
A Xilinx User Constraints File (UCF) file is in the board's @code{backend/par/bin} path. It is named @code{ml501.ucf}. It should be edited if any extra I/O or constraints are required.
1173
 
1174
Eventually it would be good to dynamically generated this, based on what is included in the design, but for now this must be hand modified if modules are added ore removed from the design.
1175
 
1176
@node ML501 Programming File Generation
1177 468 julius
@section Programming File Generation
1178 415 julius
 
1179
Programming file generation is run from the board's @code{backend/par/run} path with the following command.
1180
 
1181
@example
1182
@kbd{make orpsoc.bit}
1183
@end example
1184
 
1185
This file can then be loaded into the Xilinx iMPACT program and programmed onto the Virtex 5 part on the ML501.
1186
 
1187
@node ML501 SPI programming file
1188 468 julius
@subsection SPI programming file generation
1189 415 julius
 
1190
To generate a file, which can be programmed into the SPI flash on the board (and thus allowing the FPGA to be configured without using iMPACT each time) run the following command in the board's @code{backend/par/run} path.
1191
 
1192
@example
1193
@kbd{make orpsoc.mcs}
1194
@end example
1195
 
1196
@node ML501 SPI programming file with software
1197 468 julius
@subsection SPI programming file generation with software
1198 415 julius
 
1199
To generate a file, which can be programmed into the SPI flash on the board (and thus allowing the FPGA to be configured without using iMPACT each time) and also has a bootloader the processor can run (such as ORPmon) run the following command in the board's @code{backend/par/run} path.
1200
 
1201
@example
1202
@kbd{make orpsoc.mcs BOOTLOADER_BIN=/path/to/bootloader-binary-file.bin}
1203
@end example
1204
 
1205
The image is allowed to be up to 256KBytes in size.
1206
 
1207
As the SPI flash on the ML501 is only 2MBytes in size, and the FPGA configuration image takes up almost 1.5MBytes, the final 256KBytes are reserved for a software image to be loaded at reset by the processor.
1208
 
1209
This mark (the last 256KBytes of memory) is at hex address @code{0x1c0000}. This value is passed to the @code{promgen} tool when creating the @code{.mcs} file, and is set in the board's @code{board.h} file so the embedded bootloader in the design knows which address to load from.
1210
 
1211
If changing the address of the bootloader, to accommodate a larger image for example, be sure to update the address in the @code{board.h} file and set the environment variable @code{SPI_BOOTLOADER_SW_OFFSET_HEX} to the hex address to embed the binary image at (hexadecimal value without the leading ``@code{0x}''.) Note that changing the address to load from in @code{board.h} will require the entire design is re synthesized.
1212
 
1213
The file pointed to by @code{BOOTLOADER_BIN} should be a binary image with the  size of the image embedded in the first word.
1214
 
1215
The tool @code{bin2binsizeword} in ORPSoC's @code{sw/utils} path can add the sizeword to a binary image. A binary image is something created with the processor toolchains @code{objcopy -O binary} option. A tool like ORPmon is a good candidate for being embedded in the SPI flash as bootloader software - a binary image is automatically created when it's compiled, usually named @code{orpmon.or32.bin}. To embed that, it would simply need to be passed to the @code{bin2binsizeword} like the following:
1216
 
1217
@example
1218
@kbd{bin2binsizeword /path/to/orpmon/orpmon.or32.bin orpmon-sizeword.bin}
1219
@end example
1220
 
1221
This @code{orpmon-sizeword.bin} file should then be passed via the BOOTLOADER_BIN option when creating the @code{.mcs} file to embed it.
1222
 
1223
If once the FPGA configuration image, and a bootloader image is embedded in the SPI flash, the FPGA can be configured with ORPSoC and then the processor can load the bootloader (like ORPmon) with a single press of the board's @code{PROG} button. This makes developing on the board very easy.
1224
 
1225
@node ML501 SPI flash programming
1226 468 julius
@subsection SPI flash programming
1227 415 julius
 
1228
For a guide on how to actually set up the ML501 board to program the SPI flash, see the section under ``@emph{My Own SPI Flash Image Demonstration}'' on page 26 of the Xilinx UG228 document, http://www.xilinx.com/support/documentation/boards_and_kits/ug228.pdf . Follow steps 1 to 4, and then 9 to 16, and supply the @code{.mcs} file generated here.
1229
 
1230
Be sure to set the @emph{CONFIG} switches to @code{00010101} (left-to-right when Xilinx logo in North-West of board) before attempting to program the SPI flash. The be sure to switch them back to @code{00000101} before attempting to boot the image.
1231
 
1232 479 julius
@emph{Note}: SPI flash programming will require fly-leads from the Xilinx programming cable to the the board. See page 6 of XAPP1053 for a picture of this for a @emph{different} board, but to get the idea: http://www.xilinx.com/support/documentation/application_notes/xapp1053.pdf .
1233 415 julius
 
1234 479 julius
@emph{Note}: If leaving the SPI programming fly leads in place and attempting to boot the image, be sure to remove the @code{Vref} (@code{VCC3V3} on JP2) connection before attempting to boot. Be sure the configuration DIP SW15 is set back to the @code{00000101} position!
1235 415 julius
 
1236 479 julius
@emph{Note:} The other cable from the programmer (going to the JP1 header) @emph{must} be unplugged from the board before attempting to program the SPI flash.
1237
 
1238
 
1239 415 julius
Booting from the SPI flash to ORPmon prompt is about 3 to 4 seconds.
1240
 
1241
 
1242
@node ML501 Customising
1243 468 julius
@section Customising
1244 415 julius
 
1245
The large amount of peripherals on the ML501 means that things will want to be added or removed to suit the design.
1246
 
1247
The following sections have information on how to configure the design.
1248
 
1249
@node ML501 Customising Enabling Existing Modules
1250 468 julius
@subsection Enabling Existing RTL Modules
1251 415 julius
 
1252
The design relies on the Verilog HDL @emph{define} function to indicate which modules are included. See the board's @code{rtl/verilog/include/orpsoc-defines.v} file to determine which options are enabled by uncommented @code{`define} values.
1253
 
1254
These @code{`defines} will correspond to defines in the board's top level RTL file @code{boardpath/rtl/verilog/orpsoc_top/orpsoc_top.v}.
1255
 
1256
There are only a few modules included by default.
1257
 
1258
@itemize @bullet
1259
@item Processor - @emph{or1200}
1260
@item Clock and reset generation - @emph{clkgen}
1261
@item Bus arbiters - @emph{arbiter_ibus}, @emph{arbiter_dbus}, @emph{arbiter_bytebus}
1262
@end itemize
1263
 
1264
The rest are optional, depending on what is defined in the board's @code{rtl/verilog/include/orpsoc-defines.v} file.
1265
 
1266
@node ML501 Customising Adding Modules
1267 468 julius
@subsection Adding RTL Modules
1268 415 julius
 
1269
There are a number of steps to take when adding a new module to the design.
1270
 
1271
@itemize @bullet
1272
@item RTL Files
1273
 
1274
Create a directory under the board's @code{rtl/verilog} directory, and name it the same as the top level of the module.
1275
 
1276
Ensure the module's top level file and actual name of the module when it will be instantiated are @emph{all the same}.
1277
 
1278
Place any include files into the board's @code{rtl/verilog/include} path.
1279
 
1280
@item Instantiate in ORPSoC Top Level File
1281
 
1282
Instantiate the module in the ORPSoC top level file, @code{rtl/verilog/orpsoc_top/orpsoc_top.v}, and be sure to take care of the following.
1283
@itemize @bullet
1284
@item Create appropriate @emph{`define} in @code{orpsoc-defines.v} and surround module instantiation with it.
1285
@item Add required I/Os (surrounded by appropriate @emph{`ifdef })
1286
@item Attach to appropriate bus arbiter, declaring any signals required. Be sure to tie them off if modules is not included.
1287
@item Update appropriate bus arbiter (in board's @code{rtl/verilog/arbiters} path) adding (uncommenting) additional ports as needed.
1288
@item Update board's @code{rtl/verilog/include/orpsoc-params.v} file with appropriate set of parameters for new module, as well as arbiter memory mapping assignment.
1289
@item Attach appropriate clocks and resets, modify the board's @code{rtl/verilog/clkgen/clkgen.v} file generating appropriate clocks if required.
1290
@item Attach any interrupts to the processor's PIC vector in, assigned as the last thing in the file.
1291
@end itemize
1292
 
1293
@item Update ORPSoC Testbench
1294
 
1295
Update the board's @code{bench/verilog/orpsoc_testbench.v} file with appropriate ports (surrounded by appropriate @emph{`ifdef}.)
1296
 
1297
Add any desired models to help test the module to the board's @code{bench/verilog} path and instantiate it correctly in the testbench.
1298
 
1299
@item Add Software Drivers and Tests
1300
 
1301
In a similar fashion to what is already in the board's @code{sw/drivers} and @code{sw/tests} path, create desired driver and test software to be used during simulation (and potentially on target.)
1302
 
1303
@item Update Backend Scripts
1304
 
1305
If any I/O is added, or special timing specified, the board's UCF file will need updating - see @code{boardpath/backend/par/bin/ml501.ucf}.
1306
 
1307
@end itemize
1308
 
1309
@node ML501 Running And Debugging Software
1310 468 julius
@section Running And Debugging Software
1311 415 julius
 
1312
@node ML501 Debug Interface
1313 468 julius
@subsection Debug Interface
1314 415 julius
 
1315
The debug interface uses a separate JTAG tap and some fly-leads must be connected from an @emph{ORSoC USB debugger} (http://opencores.com/shop,item,3) to the ML501.
1316
 
1317
From the USB debugger, a fly lead must take the following signals to the following pins on header J4 on the ML501.
1318
 
1319
@itemize @bullet
1320
@item
1321
tdo - HDR2_6
1322
@item
1323
tdi - HDR2_8
1324
@item
1325
tms - HDR2_10
1326
@item
1327
tck - HDR2_12
1328
@end itemize
1329
 
1330
This corresponds to right-most column of pins on the J4 header, starting on the third row going down.
1331
 
1332
Supply and ground pins must also be hooked up for the USB debugger.
1333
 
1334
The left column of pins on J4 are all tied to ground. All pins on J7 (expansion header located adjacent to J4) are all tied to VCC2V5, 2.5V DC, and this is OK for supplying the buffers on the USB debug cable, and can be used. So essentially put the supply leads anywhere on J7 and ground leads anywhere on the left column of J4.
1335
 
1336
Once the debug interface is connected, the @code{or_debug_proxy} application can be used to provide a stub for GDB to connect to. See http://opencores.org/openrisc,debugging_physical for more information.
1337
 
1338
@node ML501 UART
1339 468 julius
@subsection UART
1340 415 julius
 
1341
There are 2 ways of connecting to the UART in the design.
1342
 
1343
One is via the usual serial port connector, P3, on the ML501. This will obviously require a PC with a serial input and appropriate terminal application.
1344
 
1345
There is also a connection available via the USB debugger mentioned in the previous subsection.
1346
 
1347
The following pins are used for RX/TX to/from the design on header J4.
1348
 
1349
@itemize @bullet
1350
@item
1351
UART RX - HDR2_2
1352
@item
1353
UART TX - HDR2_4
1354
@end itemize
1355
 
1356
Again, supply and ground leads for the UART drivers on the USB debugger can be sourced from J7/left-column J4 as per the debug interface subsection.
1357
 
1358
If both UART and debug interface are connected via the ORSoC USB debugger, this ultimately ends up witht he first 2 pins on the right column of J4 as RX/TX for the UART then the JTAG TDO, TDI, TMS and TCK in succession down the right column of J4.
1359
 
1360
See the ML501 schematic (http://www.xilinx.com/support/documentation/boards_and_kits/ml501_20061010_bw.pdf) for more details on these headers, and refer to the pinouts in the ML501 UCF, in the board's @code{backend/par/bin/ml501.ucf} file.
1361
 
1362 485 julius
 
1363 468 julius
@c ****************************************************************************
1364 485 julius
@c Generic Design build chapter
1365
@c ****************************************************************************
1366
 
1367
@node Generic
1368
@chapter Generic
1369
@cindex Generic design information
1370
 
1371
@menu
1372
* Overview::
1373
@end menu
1374
 
1375
 
1376
@node Generic Build Overview
1377
@section Overview
1378
 
1379
The paths under @code{boards/generic} contain designs similar to the reference design, in that they are not technology specific, and used for development of certain features of the processor, or peripherals.
1380
 
1381
An example is the fault tolerance testing build, in @code{boards/generic/ft}, which implements some custom modules in the testbench and ORPSoC top level design, and is in general a very minimal system just for testing.
1382
 
1383
Additional builds, testing certain parts of the technology, can be developed here.
1384
 
1385
@c ****************************************************************************
1386 468 julius
@c Software section
1387
@c ****************************************************************************
1388 415 julius
 
1389 468 julius
 
1390
@node Software
1391
@chapter Software
1392
 
1393
@cindex software use of @value{ORPSOC}
1394
 
1395
This section details the structure of the software library included in @value{ORPSOC}.
1396
 
1397
@node Software Overview
1398
@section Overview
1399
 
1400
The software provided with ORPSoC is intended to be of sufficient functionality to develop and test the designs, with some additional utility programs for board bring up.
1401
 
1402
The bulk of the software library consists of drivers and tests for the included RTL modules, focusing on the processor. A basic C library, implementing basic support functions such as printf, is included. This alleviates the prerequisite of a compiler with supporting C library installed.
1403
 
1404
Each board port may contain additional software drivers and tests in its own software directory, the structure of which mimics that of the main software directory.
1405
 
1406
@node Software Componenets
1407
@section Components
1408
 
1409
This section outlines the different components of the software library in the @code{sw/} path in the root of @value{ORPSOC}.
1410
 
1411
 
1412
@node Software Components Applications
1413
@subsection Applications
1414
 
1415
There are some included applications, which are neither drivers or tests.
1416
 
1417
Typically these will contain a @code{README} file in their directories which contain information on the software and its use.
1418
 
1419
In general, these are to be run on hardware, and thus will need to be compiled for a specific board. Be sure to pass the @code{BOARD} environment variable when compiling to pick up the appropriate board configuration. @xref{Software For Board Ports} for an example.
1420
 
1421
@node Software Components Drivers
1422
@subsection Drivers
1423
 
1424
Each RTL component may have a driver, which will be compiled into the liborpsoc library and be made available to applications and tests that use the library.
1425
 
1426
Each driver path should contain its source and an include path for driver headers.
1427
 
1428
@node Software Components CPU Drivers
1429
@subsection CPU Drivers
1430
 
1431
An attempt has been made to make the interface to basic CPU functions as generic as possible. This can allow different CPUs to be implemented in @value{ORPSOC}.
1432
 
1433
The header file @code{cpu-utils.h} should be included to gain access to the CPU driver functions, such as timers, special purpose registers, memory access macros, etc. This header will, in turn, include the appropriate CPU driver header.
1434
 
1435
@emph{Note:} What is included in the CPU driver, and how it should be interfaced is not documented yet, but in future every effort should be made to ensure a generic interface to CPU functions is used.
1436
 
1437
At present only the OR1200 has a driver, but it is intended that alternate OpenRISC processors can be implemented into ORPSoC and a driver for it to be easily used in the library.
1438
 
1439
The environment variable @code{CPU_DRIVER} is used to specify which driver is the CPU driver to be used at liborpsoc compile time.
1440
 
1441
@node Software Components Tests
1442
@subsection Tests
1443
 
1444
Each test subdirectory contains directories for each target. Usually there's just @code{sim} and @code{board}, the difference between the two being longer run-time and use of UART for board-targeted tests.
1445
 
1446
@emph{Note:} Test directory names should not contain hyphens or underscores. Test software files should be named with the single test directory name first, followed by a single word, eg. @code{or1200-simple.c}.
1447
 
1448
Test names are referenced using this @code{module}-@code{testname} pair. The automated testing mechanism implemented by the Makefile scripts will always search the @code{sim} paths for tests, rather than the @code{board} paths.
1449
 
1450
@emph{Note:} There is no automated testing mechnism for the board-targeted software yet. It is anticipated that a testing harness for these will be developed, and we encourage users to help solve this problem.
1451
 
1452
@node Software Components Library
1453
@subsection Library
1454
 
1455
The @code{lib} path in the root software directory is where the code for the minimal C library is located, and is the location of the @code{liborpsoc} archive file after its compilation.
1456
 
1457
@node Software Components Board
1458
@subsection Board
1459
 
1460
The @code{board} path in the software directory may, in future, contain other board-specific code, but at present its @code{include} path houses just an important header, @code{board.h} used for configuring the software when compiling programs targeted at a specific board port.
1461
 
1462
This file contains mainly defines of things such as the CPU frequency and timer rate, peripheral base addresses, IRQ numbers, and other board-specific defines. Each board port should contain its own, and is one of the reasons for passing the @code{BOARD} environment variable when compiling software targeted at a specific board port - so its board-specific defines will be used instead of the reference design's.
1463
 
1464
@node Software Components Utilities
1465
@subsection Utilities
1466
 
1467
The @code{utils} path contains tools used to help manipulate binary software images for a variety of purposes. All tools are designed to be run on the host machine, and not on ORPSoC.
1468
 
1469
 
1470
@node Software For Board Ports
1471
@section Software For Board Ports
1472
 
1473
Each board port will have its own software directory, if only to keep its @code{board.h} header file, specifying system parameters specific to the board.
1474
 
1475
It may also contain drivers and tests specific to peripherals for that board.
1476
 
1477
@emph{Note:} For any tests or drivers named the same found in both a board's software path and the root software path, the @emph{board's} software will be used instead.
1478
 
1479
@emph{Note:} When compiling any software in the @emph{root} software path (such as in the applications folder) intended to run on a particular board, make use of the @code{BOARD} variable to indicat which board's configuration (@code{board.h} file, and any board-specific drivers) to use. For example:
1480
 
1481
@example
1482
@kbd{orpsoc/sw/apps/app1$ make app1.elf BOARD=xilinx/ml501}
1483
@end example
1484
 
1485
It's also advisable to do a @code{make distclean} prior to clear out any preexisting libraries that may not contain software appropriate for the targeted board port (it may have been built with the reference design's @code{board.h}, for example.)
1486
 
1487
 
1488
 
1489 397 julius
@c ****************************************************************************
1490
@c End bits
1491
@c ****************************************************************************
1492
 
1493
@node  GNU Free Documentation License
1494
@chapter GNU Free Documentation License
1495
@cindex license for @value{ORPSOC}
1496
 
1497
@include fdl-1.2.texi
1498
 
1499
@node Index
1500
 
1501
@unnumbered Index
1502
 
1503
@printindex cp
1504
 
1505
@bye
1506
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.