OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 397

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####                                                              ####
12
####  Author(s):                                                  ####
13 360 julius
####      - Julius Baxter, julius@opencores.org                   ####
14 6 julius
####                                                              ####
15
####                                                              ####
16
######################################################################
17
####                                                              ####
18 348 julius
#### Copyright (C) 2009,2010 Authors and OPENCORES.ORG            ####
19 6 julius
####                                                              ####
20
#### This source file may be used and distributed without         ####
21
#### restriction provided that this copyright statement is not    ####
22
#### removed from the file and that any derivative work contains  ####
23
#### the original copyright notice and the associated disclaimer. ####
24
####                                                              ####
25
#### This source file is free software; you can redistribute it   ####
26
#### and/or modify it under the terms of the GNU Lesser General   ####
27
#### Public License as published by the Free Software Foundation; ####
28
#### either version 2.1 of the License, or (at your option) any   ####
29
#### later version.                                               ####
30
####                                                              ####
31
#### This source is distributed in the hope that it will be       ####
32
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
33
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
34
#### PURPOSE.  See the GNU Lesser General Public License for more ####
35
#### details.                                                     ####
36
####                                                              ####
37
#### You should have received a copy of the GNU Lesser General    ####
38
#### Public License along with this source; if not, download it   ####
39
#### from http://www.opencores.org/lgpl.shtml                     ####
40
####                                                              ####
41
######################################################################
42
 
43 360 julius
# Name of the directory we're currently in
44
CUR_DIR=$(shell pwd)
45 6 julius
 
46 360 julius
# The root path of the whole project
47
PROJECT_ROOT ?=$(CUR_DIR)/../..
48 6 julius
 
49 362 julius
DESIGN_NAME=orpsoc
50
RTL_TESTBENCH_TOP=$(DESIGN_NAME)_testbench
51
# Top level files for DUT and testbench
52
DUT_TOP=$(RTL_VERILOG_DIR)/$(DESIGN_NAME)_top/$(DESIGN_NAME)_top.v
53
BENCH_TOP=$(BENCH_VERILOG_DIR)/$(DESIGN_NAME)_testbench.v
54
 
55 360 julius
# Need this for individual test variables to not break
56
TEST ?= or1200-simple
57 6 julius
 
58 393 julius
TESTS ?= or1200-simple or1200-basic or1200-cbasic or1200-dctest or1200-float or1200-mmu  or1200-except or1200-mac or1200-linkregtest or1200-tick or1200-ticksyscall uart-simple
59 6 julius
 
60 360 julius
# Gets turned into verilog `define
61
SIM_TYPE=RTL
62 6 julius
 
63 360 julius
# Paths to other important parts of this test suite
64
RTL_DIR = $(PROJECT_ROOT)/rtl
65
RTL_VERILOG_DIR = $(RTL_DIR)/verilog
66
RTL_VERILOG_INCLUDE_DIR = $(RTL_VERILOG_DIR)/include
67
#RTL_VHDL_DIR = $(RTL_DIR)/vhdl
68 6 julius
 
69 360 julius
PROJECT_VERILOG_DEFINES=$(RTL_VERILOG_INCLUDE_DIR)/$(DESIGN_NAME)-defines.v
70
# Detect technology to use for the simulation
71
DESIGN_DEFINES=$(shell cat $(PROJECT_VERILOG_DEFINES) | sed s://.*::g | sed s:\`:\#:g | sed 's:^[ ]*::' | awk '{print};/^\#define/{printf "_%s=%s\n",$$2,$$2}' | grep -v PERIOD | cpp -P | sed s:^_::g | sed s:=$$::g )
72 6 julius
 
73 360 julius
# Rule to look at what defines are being extracted from main file
74
print-defines:
75
        @echo echo; echo "\t### Design defines ###"; echo
76
        @echo "\tParsing "$(PROJECT_VERILOG_DEFINES)" and exporting:"
77
        @echo $(DESIGN_DEFINES)
78 6 julius
 
79 360 julius
# Simulation directories
80
SIM_DIR ?=$(PROJECT_ROOT)/sim
81 362 julius
SIM_VLT_DIR ?=$(SIM_DIR)/vlt
82 360 julius
RTL_SIM_DIR=$(SIM_DIR)
83
RTL_SIM_RUN_DIR=$(RTL_SIM_DIR)/run
84
RTL_SIM_BIN_DIR=$(RTL_SIM_DIR)/bin
85
RTL_SIM_SRC_DIR=$(RTL_SIM_DIR)/src
86
RTL_SIM_RESULTS_DIR=$(RTL_SIM_DIR)/out
87 6 julius
 
88 360 julius
# Testbench paths
89 6 julius
BENCH_DIR=$(PROJECT_ROOT)/bench
90
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
91 360 julius
#BENCH_VHDL_DIR=$(BENCH_DIR)/vhdl
92 362 julius
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
93
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
94
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
95 360 julius
 
96 362 julius
 
97 360 julius
# System software dir
98 6 julius
SW_DIR=$(PROJECT_ROOT)/sw
99 360 julius
# BootROM code, which generates a verilog array select values
100
BOOTROM_FILE=bootrom.v
101
BOOTROM_SW_DIR=$(SW_DIR)/bootrom
102
BOOTROM_SRC=$(shell ls $(BOOTROM_SW_DIR)/* | grep -v $(BOOTROM_FILE))
103
BOOTROM_VERILOG=$(BOOTROM_SW_DIR)/$(BOOTROM_FILE)
104
$(BOOTROM_VERILOG): $(BOOTROM_SRC)
105
        $(Q)echo; echo "\t### Generating bootup ROM ###"; echo
106
        $(Q)$(MAKE) -C $(BOOTROM_SW_DIR) $(BOOTROM_FILE)
107 6 julius
 
108 360 julius
# Suffix of file to check after each test for the string
109
TEST_OUT_FILE_SUFFIX=-general.log
110
TEST_OK_STRING=8000000d
111 6 julius
 
112 360 julius
# Dynamically generated verilog file defining configuration for various things
113
TEST_DEFINES_VLG=test-defines.v
114 57 julius
# Set V=1 when calling make to enable verbose output
115
# mainly for debugging purposes.
116
ifeq ($(V), 1)
117
Q=
118 360 julius
QUIET=
119 57 julius
else
120 360 julius
Q ?=@
121
QUIET=-quiet
122 57 julius
endif
123
 
124 360 julius
# Modelsim variables
125
MGC_VSIM=vsim
126
MGC_VLOG_COMP=vlog
127
MGC_VHDL_COMP=vcom
128
MODELSIM=modelsim
129 6 julius
 
130 360 julius
# Icarus variables
131
ICARUS_COMPILE=iverilog
132
ICARUS_RUN=vvp
133
ICARUS_SCRIPT=icarus.scr
134
ICARUS_SIM_EXE=vlogsim.elf
135
ICARUS=icarus
136 58 julius
 
137 360 julius
#Default simulator is Icarus Verilog
138
# Set SIMULATOR=modelsim to use Modelsim
139
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog - TODO
140
# Set SIMULATOR=icarus to use Icarus Verilog (Default)
141 68 julius
 
142 58 julius
SIMULATOR ?= $(ICARUS)
143
 
144 360 julius
# VPI debugging interface variables
145
VPI_SRC_C_DIR=$(BENCH_VERILOG_DIR)/vpi/c
146 397 julius
VPI_SRC_VERILOG_DIR=$(BENCH_VERILOG_DIR)/vpi/verilog
147 360 julius
VPI_SRCS=$(shell ls $(VPI_SRC_C_DIR)/*.[ch])
148 58 julius
 
149 360 julius
# Modelsim VPI compile variables
150
MODELTECH_VPILIB=msim_jp_vpi.sl
151
# Icarus VPI compile target
152
ICARUS_VPILIB=jp_vpi
153 58 julius
 
154 360 julius
#
155
# Modelsim-specific settings
156
#
157
VOPT_ARGS=$(QUIET) -suppress 2241
158 55 julius
# If VCD dump is desired, tell Modelsim not to optimise
159
# away everything.
160
ifeq ($(VCD), 1)
161 360 julius
#VOPT_ARGS=-voptargs="+acc=rnp"
162
VOPT_ARGS=+acc=rnpqv
163 55 julius
endif
164 360 julius
# VSIM commands
165
# Suppressed warnings - 3009: Failed to open $readmemh() file
166
# Suppressed warnings - 3009: Module 'blah' does not have a `timescale directive in effect, but previous modules do.
167
# Suppressed warnings - 8598: Non-positive replication multiplier inside concat. Replication will be ignored
168 397 julius
MGC_VSIM_ARGS=  -suppress 7 -suppress 3009 -suppress 8598 -c $(QUIET) -do "set StdArithNoWarnings 1; run -all; exit"
169
# Options required when VPI option used
170 360 julius
ifeq ($(VPI), 1)
171 397 julius
MGC_VPI_LIB=$(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
172
MGC_VSIM_ARGS += -pli $(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
173
 
174
ICARUS_VPI_LIB=$(VPI_SRC_C_DIR)/$(ICARUS_VPILIB)
175
ICARUS_VPI_ARGS=-M$(VPI_SRC_C_DIR) -m$(ICARUS_VPILIB)
176 58 julius
endif
177 397 julius
# Rule to make the VPI library for Modelsim
178
$(MGC_VPI_LIB): $(VPI_SRCS)
179 360 julius
        $(MAKE) -C $(VPI_SRC_C_DIR) $(MODELTECH_VPILIB)
180 58 julius
 
181 397 julius
# Rule to make VPI library for Icarus Verilog
182
$(ICARUS_VPI_LIB): $(VPI_SRCS)
183 360 julius
        $(MAKE) -C $(VPI_SRC_C_DIR) $(ICARUS_VPILIB)
184 77 rherveille
 
185 397 julius
# Manually add the VPI bench verilog path
186
BENCH_VERILOG_SRC_SUBDIRS += $(VPI_SRC_VERILOG_DIR)
187
 
188 360 julius
#
189
# Verilog DUT source variables
190
#
191
# A list of paths under rtl/verilog we wish to exclude for module searching
192
VERILOG_MODULES_EXCLUDE=  include components
193
VERILOG_MODULES_EXCLUDE_LIST_E=$(shell for exclude in $(VERILOG_MODULES_EXCLUDE); do echo "-e $$exclude"; done)
194
RTL_VERILOG_MODULES=$(shell ls $(RTL_VERILOG_DIR) | grep -v $(VERILOG_MODULES_EXCLUDE_LIST_E) )
195
# Specific files to exclude, currently none.
196
#VERILOG_EXCLUDE=
197
#VERILOG_EXCLUDE_LIST_E=$(shell for exclude in $(VERILOG_EXCLUDE); do echo "-e $$exclude"; done)
198
# List of verilog source files, minus excluded files
199
#RTL_VERILOG_SRC=$(shell for module in $(RTL_VERILOG_MODULES); do if [ -d $(RTL_VERILOG_DIR)/$$module ]; then ls $(RTL_VERILOG_DIR)/$$module/*.v | grep -v $(VERILOG_EXCLUDE_LIST_E); fi; done)
200
# List of verilog source files, ignoring excludes
201
RTL_VERILOG_SRC=$(shell for module in $(RTL_VERILOG_MODULES); do if [ -d $(RTL_VERILOG_DIR)/$$module ]; then ls $(RTL_VERILOG_DIR)/$$module/*.v; fi; done)
202 6 julius
 
203 360 julius
# List of verilog includes
204
RTL_VERILOG_INCLUDES=$(shell ls $(RTL_VERILOG_INCLUDE_DIR)/*.*)
205 6 julius
 
206 360 julius
print-verilog-src:
207
        @echo echo; echo "\t### Verilog source ###"; echo
208
        @echo $(RTL_VERILOG_SRC)
209 51 julius
 
210 360 julius
# Rules to make RTL we might need
211
# Expects modules, if they need making, to have their top verilog file to
212
# correspond to their module name, and the directory should have a make file
213
# and rule which works for this command.
214
# Add name of module to this list, currently only does verilog ones.
215
# Rule 'rtl' is called just before generating DUT modelsim compilation script
216
RTL_TO_CHECK=
217
rtl:
218
        $(Q)for module in $(RTL_TO_CHECK); do \
219
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module $$module.v; \
220
        done
221 6 julius
 
222 55 julius
#
223 360 julius
# VHDL DUT source variables
224 55 julius
#
225 360 julius
# VHDL modules
226
#RTL_VHDL_MODULES=$(shell ls $(RTL_VHDL_DIR))
227
# VHDL sources
228
#RTL_VHDL_SRC=$(shell for module in $(RTL_VHDL_MODULES); do if [ -d $(RTL_VHDL_DIR)/$$module ]; then ls $(RTL_VHDL_DIR)/$$module/*.vhd; fi; done)
229
#print-vhdl-src:
230
#       @echo echo; echo "\t### VHDL modules and source ###"; echo
231
#       @echo "modules: "; echo $(RTL_VHDL_MODULES); echo
232
#       @echo "source: "$(RTL_VHDL_SRC)
233 6 julius
 
234 40 julius
 
235 360 julius
# Testbench verilog source
236 362 julius
BENCH_VERILOG_SRC=$(shell ls $(BENCH_VERILOG_DIR)/*.v | grep -v $(DESIGN_NAME)_testbench )
237 40 julius
 
238 362 julius
print-bench-src:
239
        $(Q)echo "\tBench verilog source"; \
240
        echo $(BENCH_VERILOG_SRC)
241
 
242 360 julius
# Testbench source subdirectory detection
243 397 julius
BENCH_VERILOG_SRC_SUBDIRS +=$(shell for file in `ls $(BENCH_VERILOG_DIR)`; do if [ -d $(BENCH_VERILOG_DIR)/$$file ]; then echo $(BENCH_VERILOG_DIR)/$$file; fi; done)
244 40 julius
 
245 360 julius
# Compile script generation rules:
246 40 julius
 
247 360 julius
modelsim_dut.scr: rtl $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) $(BOOTROM_VERILOG)
248
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
249
        $(Q)echo "+incdir+"$(RTL_SIM_SRC_DIR) >> $@;
250
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
251
        $(Q)echo "+incdir+"$(BENCH_VERILOG_DIR) >> $@;
252
        $(Q)echo "+libext+.v" >> $@;
253
        $(Q)for module in $(RTL_VERILOG_MODULES); do if [ -d $(RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; fi; done
254
        $(Q)echo >> $@
255 6 julius
 
256 360 julius
modelsim_bench.scr: $(BENCH_VERILOG_SRC)
257
        $(Q)echo "+incdir+"$(BENCH_VERILOG_DIR) > $@;
258
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do echo "+incdir+"$$path >> $@; done
259
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do echo "-y "$$path >> $@; done
260
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) >> $@;
261
        $(Q)echo "+incdir+"$(RTL_SIM_SRC_DIR) >> $@;
262
        $(Q)echo "+libext+.v" >> $@;
263
        $(Q)echo "-y" $(RTL_SIM_SRC_DIR) >> $@;
264
        $(Q)for vsrc in $(BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
265
        $(Q)echo >> $@
266 6 julius
 
267 360 julius
# Compile DUT into "work" library
268
work: modelsim_dut.scr #$(RTL_VHDL_SRC)
269
        $(Q)if [ ! -e $@ ]; then vlib $@; fi
270
#       $(Q)echo; echo "\t### Compiling VHDL design library ###"; echo
271
#       $(Q)vcom -93 $(QUIET) $(RTL_VHDL_SRC)
272
        $(Q)echo; echo "\t### Compiling Verilog design library ###"; echo
273
        $(Q)vlog $(QUIET) -f $< $(DUT_TOP)
274 6 julius
 
275 360 julius
# Single compile rule
276
.PHONY : $(MODELSIM)
277 397 julius
$(MODELSIM): modelsim_bench.scr $(TEST_DEFINES_VLG) $(MGC_VPI_LIB) work
278 360 julius
        $(Q)echo; echo "\t### Compiling testbench ###"; echo
279 362 julius
        $(Q)vlog $(QUIET) -nologo -incr $(BENCH_TOP) -f $<
280 360 julius
        $(Q)vopt $(QUIET) $(RTL_TESTBENCH_TOP) $(VOPT_ARGS) -o tb
281
        $(Q)echo; echo "\t### Launching simulation ###"; echo
282 397 julius
        $(Q)vsim $(MGC_VSIM_ARGS) tb
283 6 julius
 
284 360 julius
#
285
# Icarus Verilog simulator build and run rules
286
#
287
.PHONY: $(ICARUS_SCRIPT)
288
$(ICARUS_SCRIPT):  $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) $(BOOTROM_VERILOG) $(BENCH_VERILOG_SRC)
289
        $(Q)echo "# Icarus Verilog simulation script" > $@
290
        $(Q)echo "# Auto generated. Any alterations will be written over!" >> $@
291
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
292
        $(Q)echo "+incdir+"$(RTL_SIM_SRC_DIR) >> $@;
293
        $(Q)echo "+incdir+"$(BENCH_VERILOG_DIR) >> $@;
294
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
295
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do echo "+incdir+"$$path >> $@; done
296
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do echo "-y "$$path >> $@; done
297
        $(Q)for module in $(RTL_VERILOG_MODULES); do echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; done
298
        $(Q)echo "-y" $(RTL_SIM_SRC_DIR) >> $@;
299 362 julius
        $(Q)echo "-y" $(BENCH_VERILOG_DIR) >> $@;
300
        $(Q)echo $(BENCH_TOP) >> $@;
301 360 julius
        $(Q) echo >> $@
302 6 julius
 
303 360 julius
# Icarus design compilation rule
304
$(ICARUS_SIM_EXE): $(ICARUS_SCRIPT) $(TEST_DEFINES_VLG)
305
        $(Q)echo; echo "\t### Compiling ###"; echo
306
        $(Q) $(ICARUS_COMPILE) -s$(RTL_TESTBENCH_TOP) -c $< -o $@
307 49 julius
 
308 360 julius
# Icarus simulation run rule
309
$(ICARUS): $(ICARUS_SIM_EXE) $(ICARUS_VPI_LIB)
310
        $(Q)echo; echo "\t### Launching simulation ###"; echo
311
        $(Q) $(ICARUS_RUN) $(ICARUS_VPI_ARGS) -l ../out/$(ICARUS_RUN).log $<
312 63 julius
 
313 49 julius
 
314
 
315 360 julius
.PHONY: rtl-test
316
rtl-test: clean-sim-test-sw sw clean-test-defines $(TEST_DEFINES_VLG) \
317
        $(SIMULATOR)
318 6 julius
 
319 360 julius
# Run an RTL test followed by checking of generated results
320
rtl-test-with-check: rtl-test
321
        $(Q)$(MAKE) check-test-log; \
322
        if [ $$? -ne 0 ]; then \
323
                echo; echo "\t### "$(TEST)" test FAIL ###"; echo; \
324
        else \
325
                echo; echo "\t### "$(TEST)" test OK ###"; echo; \
326
        fi
327 6 julius
 
328 360 julius
# Do check, don't print anything out
329
rtl-test-with-check-no-print: rtl-test check-test-log
330 6 julius
 
331 360 julius
# Main RTL test loop
332
rtl-tests:
333
        $(Q)for test in $(TESTS); do \
334
                export TEST=$$test; \
335
                $(MAKE) rtl-test-with-check-no-print; \
336
                if [ $$? -ne 0 ]; then break; fi; \
337
                echo; echo "\t### $$test test OK ###"; echo; \
338 6 julius
        done
339
 
340
 
341 360 julius
.PHONY: check-test-log
342
check-test-log:
343
        $(Q)echo "#!/bin/bash" > $@
344
        $(Q)echo "function check-test-log { if [ \`grep -c -i "$(TEST_OK_STRING)" "$(RTL_SIM_RESULTS_DIR)"/"$(TEST)$(TEST_OUT_FILE_SUFFIX)"\` -gt 0 ]; then return 0; else return 1; fi; }" >> $@
345
        $(Q)echo "check-test-log" >> $@
346
        $(Q)chmod +x $@
347
        $(Q) echo; echo "\t### Checking simulation results for "$(TEST)" test ###"; echo;
348
        $(Q)./$@
349 6 julius
 
350
 
351 360 julius
# Test defines.v file, called recursively, .PHONY to force its generation
352
.PHONY: $(TEST_DEFINES_VLG)
353
$(TEST_DEFINES_VLG):
354
        $(Q)echo "\`define "$(SIM_TYPE)"_SIM" > $@
355
        $(Q)echo "\`define SIMULATOR_"`echo $(SIMULATOR) | tr  "[:lower:]" "[:upper:]"` > $@
356
        $(Q)echo "\`define TEST_NAME_STRING \""$(TEST)"\"" >> $@
357
        $(Q)if [ ! -z $$VCD ]; \
358
                then echo "\`define VCD" >> $@; \
359
        fi
360
        $(Q)if [ ! -z $$VCD_DELAY ]; \
361
                then echo "\`define VCD_DELAY "$$VCD_DELAY >> $@; \
362
        fi
363
        $(Q)if [ ! -z $$VCD_DEPTH ]; \
364
                then echo "\`define VCD_DEPTH "$$VCD_DEPTH >> $@; \
365
        fi
366
        $(Q)if [ ! -z $$VCD_DELAY_INSNS ]; \
367
                then echo "\`define VCD_DELAY_INSNS "$$VCD_DELAY_INSNS >> $@; \
368
        fi
369
        $(Q)if [ ! -z $$END_TIME ]; \
370
                then echo "\`define END_TIME "$$END_TIME >> $@; \
371
        fi
372
        $(Q)if [ ! -z $$END_INSNS ]; \
373
                then echo "\`define END_INSNS "$$END_INSNS >> $@; \
374
        fi
375
        $(Q)if [ ! -z $$PRELOAD_RAM ]; \
376
                then echo "\`define PRELOAD_RAM "$$END_TIME >> $@; \
377
        fi
378 397 julius
        $(Q)if [ -z $$DISABLE_PROCESSOR_LOGS ]; \
379
                then echo "\`define PROCESSOR_MONITOR_ENABLE_LOGS" >> $@; \
380 360 julius
        fi
381
        $(Q)if [ ! -z $$VPI ]; \
382
                then echo "\`define VPI_DEBUG" >> $@; \
383
        fi
384
        $(Q)if [ ! -z $$SIM_QUIET ]; \
385
                then echo "\`define SIM_QUIET" >> $@; \
386
        fi
387 6 julius
 
388
 
389 360 julius
#       $(Q)for module in $(GATELEVEL_MODULES); do echo "\`define "$$module"_IS_GATELEVEL " >> $@; done
390
# More possible test defines go here
391 6 julius
 
392 51 julius
 
393 360 julius
# Software make rules (called recursively)
394 393 julius
TEST_SW_DIR=$(SW_DIR)/tests/$(shell echo $(TEST) | cut -d "-" -f 1)/sim
395 6 julius
 
396 360 julius
# Set PRELOAD_RAM=1 to preload the system memory, avoiding lengthy SPI FLASH
397
# bootloader process.
398
#ifeq ($(PRELOAD_RAM), 1)
399
SIM_SW_IMAGE ?=sram.vmem
400
#else
401
#SIM_SW_IMAGE ?=flash.in
402
#endif
403 6 julius
 
404 360 julius
.PHONY : sw
405
sw: $(SIM_SW_IMAGE)
406 6 julius
 
407 360 julius
flash.in: $(TEST_SW_DIR)/$(TEST).flashin
408
        $(Q)if [ -L $@ ]; then unlink $@; fi
409
        $(Q)ln -s $< $@
410 6 julius
 
411 360 julius
sram.vmem: $(TEST_SW_DIR)/$(TEST).vmem
412
        $(Q)if [ -L $@ ]; then unlink $@; fi
413
        $(Q)ln -s $< $@
414 6 julius
 
415 360 julius
.PHONY: $(TEST_SW_DIR)/$(TEST).flashin
416
$(TEST_SW_DIR)/$(TEST).flashin:
417
        $(Q) echo; echo "\t### Compiling software ###"; echo;
418
        $(Q)$(MAKE) -C $(TEST_SW_DIR) $(TEST).flashin
419 6 julius
 
420 360 julius
.PHONY: $(TEST_SW_DIR)/$(TEST).vmem
421
$(TEST_SW_DIR)/$(TEST).vmem:
422
        $(Q) echo; echo "\t### Compiling software ###"; echo;
423
        $(Q)$(MAKE) -C $(TEST_SW_DIR) $(TEST).vmem
424 63 julius
 
425 397 julius
# Rule to force generation of the processed orpsoc-defines.h file
426
processed-verilog-headers-in-c-for-vlt:
427
        $(Q)$(MAKE) -C $(SW_DIR)/lib processed-verilog-headers
428
# Now copy the file into the Verilated model build path
429
        $(Q)cp $(SW_DIR)/lib/include/orpsoc-defines.h $(SIM_VLT_DIR)
430
 
431 360 julius
#
432
# Cleaning rules
433
#
434 362 julius
clean: clean-sim clean-sim-test-sw clean-bootrom clean-vlt clean-out clean-sw
435 63 julius
 
436 360 julius
clean-sim:
437
        $(Q) echo; echo "\t### Cleaning simulation run directory ###"; echo;
438
        $(Q)rm -rf *.* lib_* work transcript check-test-log
439
        $(Q) if [ -e $(VPI_SRC_C_DIR) ]; then $(MAKE) -C $(VPI_SRC_C_DIR) clean; fi
440 6 julius
 
441 360 julius
clean-bootrom:
442
        $(MAKE) -C $(BOOTROM_SW_DIR) clean
443 6 julius
 
444 360 julius
clean-out:
445
        $(Q)rm -rf $(RTL_SIM_RESULTS_DIR)/*.*
446 6 julius
 
447 363 julius
# Clean away verilator build path and objects in SystemC path
448 362 julius
clean-vlt:
449
        $(Q)rm -rf $(SIM_VLT_DIR)
450 363 julius
        $(Q)$(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make clean
451 362 julius
 
452 360 julius
clean-test-defines:
453
        $(Q)rm -f $(TEST_DEFINES_VLG)
454 6 julius
 
455 360 julius
clean-sim-test-sw:
456
        $(Q)if [ -e $(SIM_SW_IMAGE) ]; then unlink $(SIM_SW_IMAGE); fi
457 6 julius
 
458
clean-sw:
459 360 julius
        $(Q) echo; echo "\t### Cleaning simulation sw directories ###"; echo;
460 393 julius
        $(Q) $(MAKE) -C $(SW_DIR)/lib clean-all
461 6 julius
 
462 36 julius
clean-rtl:
463 360 julius
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
464
        for module in $(RTL_TO_CHECK); do \
465
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module clean; \
466
        done
467 44 julius
 
468 360 julius
# Removes any checked out RTL
469
distclean: clean
470
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
471
        $(Q)for module in $(RTL_TO_CHECK); do \
472
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module distclean; \
473
        done
474 362 julius
 
475
################################################################################
476
# Verilator model build rules
477
################################################################################
478
 
479
VLT_EXE=Vorpsoc_top
480
VLT_SCRIPT=verilator.scr
481
 
482
# Script for Verilator
483
$(SIM_VLT_DIR)/$(VLT_SCRIPT): $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) $(BOOTROM_VERILOG)
484
        $(Q)echo "\tGenerating Verilator script"
485
        $(Q)echo "# Verilator sources script" > $@
486
        $(Q)echo "# Auto generated. Any alterations will be written over!" >> $@
487
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
488
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
489
        $(Q)echo "+incdir+"$(SIM_RUN_DIR) >> $@;
490
        $(Q)for module in $(RTL_VERILOG_MODULES); do echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; done
491
        $(Q)echo $(DUT_TOP) >> $@;
492
        $(Q) echo >> $@
493
 
494
 
495
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
496
 
497
 
498
# List of System C models - use this list to link the sources into the Verilator
499
# build directory
500
SYSC_MODELS=OrpsocAccess MemoryLoad
501
 
502 363 julius
ifdef VLT_LINT
503
VLT_FLAGS +=--lint-only
504
endif
505
 
506 362 julius
ifdef VLT_DEBUG
507
VLT_DEBUG_COMPILE_FLAGS = -g
508
# Enabling the following generates a TON of debugging
509
# when running verilator. Not so helpful.
510 363 julius
#VLT_FLAGS = --debug --dump-tree
511 362 julius
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
512
endif
513
 
514 363 julius
# This will build a verilator model that will generate profiling information
515
# suitable for gprof
516
# Run it through gprof after exection with: gprof Vorpsoc_top > gprof.out
517
# then run this through the Verilator tool with:
518
# verilator_profcfunc gprof.out > vprof.out
519
ifdef VLT_EXECUTION_PROFILE_BUILD
520
VLT_CPPFLAGS +=-g -pg
521
# Maybe add these to VLT_CPPFLAGS: -ftest-coverage -fprofile-arcs
522
VLT_FLAGS +=-profile-cfuncs
523
endif
524
 
525
# If set on the command line we build the cycle accurate model which will
526
# generate verilator-specific profiling information. This is useful for
527
# checking the efficiency of the model - not really useful for checking code
528
# or the function of the model.
529
ifdef VLT_DO_PERFORMANCE_PROFILE_BUILD
530
VLT_CPPFLAGS += -fprofile-generate -fbranch-probabilities -fvpt -funroll-loops -fpeel-loops -ftracer
531 362 julius
else
532
VLT_CPPFLAGS +=-fprofile-use -Wcoverage-mismatch
533
endif
534
 
535 363 julius
# Set VLT_IN_GDB=1 when making if going to run the cycle accurate model
536
# executable in GDB.
537 362 julius
ifdef VLT_IN_GDB
538
VLT_CPPFLAGS +=-g -O0
539
else
540
# The default optimisation flag applied to all of the cycle accurate model files
541
VLT_CPPFLAGS +=-O3
542
endif
543
 
544
# VCD Enabled by default when building, enable it at runtime
545
VLT_FLAGS +=-trace
546
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
547
 
548 363 julius
# Verilator tuning
549
# Inlining:
550
VLT_FLAGS +=--inline-mult 1
551
# Optimisation option for Verilator scripts
552
VLT_FLAGS +=-O3
553
# X-assign - at reset, all signals are set to random values, helps find rst bugs
554
VLT_FLAGS +=-x-assign unique
555
 
556 362 julius
VLT_TRACEOBJ = verilated_vcd_c
557
 
558 363 julius
 
559 362 julius
# This is the list of extra models we'll issue make commands for
560
# Included is the SystemPerl trace model
561
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
562
 
563
# List of sources for rule sensitivity
564
SYSC_MODEL_SOURCES=$(shell ls $(BENCH_SYSC_SRC_DIR)/*.cpp)
565
SYSC_MODEL_SOURCES +=$(shell ls $(BENCH_SYSC_INCLUDE_DIR)/*.h)
566
 
567
VLT_MODULES_OBJS=$(shell for mod in $(SYSC_MODELS_BUILD); do echo $(SIM_VLT_DIR)/$$mod.o; done)
568
 
569
VLT_MODEL_LINKS=$(shell for SYSCMODEL in $(SYSC_MODELS); do echo $(SIM_VLT_DIR)/$$SYSCMODEL.cpp; done)
570
 
571
# Make Verilator build path if it doesn't exist
572
$(SIM_VLT_DIR):
573
        mkdir -p $@
574
 
575
# Dummy files the RTL requires: timescale.v
576
DUMMY_FILES_FOR_VLT=$(SIM_VLT_DIR)/timescale.v
577
$(DUMMY_FILES_FOR_VLT):
578
        $(Q)for file in $@; do if [ ! -e $$file ]; then touch $$file; fi; done
579
 
580 397 julius
build-vlt: rtl $(SIM_VLT_DIR) $(DUMMY_FILES_FOR_VLT) $(VLT_MODEL_LINKS) \
581
        processed-verilog-headers-in-c-for-vlt  $(SIM_VLT_DIR)/$(VLT_EXE)
582 362 julius
 
583
# Main Cycle-accurate build rule
584
prepare-vlt: build-vlt
585
        @echo;echo "\tCycle-accurate model compiled successfully"
586
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
587
        $(SIM_VLT_DIR)/$(VLT_EXE) -h
588
        @echo;echo
589
 
590
$(SIM_VLT_DIR)/$(VLT_EXE): $(SIM_VLT_DIR)/lib$(VLT_EXE).a $(SIM_VLT_DIR)/OrpsocMain.o
591
# Final linking of the simulation executable. Order of libraries here is important!
592
        $(Q)echo; echo "\tGenerating simulation executable"; echo
593
        $(Q)cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o $(VLT_EXE) -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) OrpsocMain.o -l$(VLT_EXE) -lmodules -lsystemc
594
 
595
# Now compile the top level systemC "testbench" module from the systemC source path
596
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
597
        @echo; echo "\tCompiling top level SystemC testbench"; echo
598
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
599
 
600
$(SIM_VLT_DIR)/lib$(VLT_EXE).a: $(SIM_VLT_DIR)/$(VLT_EXE)__ALL.a $(VLT_MODULES_OBJS) $(SIM_VLT_DIR)/verilated.o
601
# Now archive all of the libraries from verilator witht he other modules we might have
602
        @echo; echo "\tArchiving libraries into lib"$(VLT_EXE)".a"; echo
603
        $(Q)cd $(SIM_VLT_DIR) && \
604
        cp $(VLT_EXE)__ALL.a lib$(VLT_EXE).a && \
605
        ar rcs lib$(VLT_EXE).a verilated.o; \
606
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
607
                ar rcs lib$(VLT_EXE).a $$SYSCMODEL.o; \
608
        done
609
 
610
$(SIM_VLT_DIR)/verilated.o: $(SYSC_MODEL_SOURCES)
611
        @echo; echo "\tCompiling verilated.o"; echo
612
        $(Q)cd $(SIM_VLT_DIR) && \
613
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
614
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
615
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
616
        $(MAKE) -f $(VLT_EXE).mk verilated.o
617
 
618
print-sysmod-objs:
619
        $(Q)echo $(VLT_MODULES_OBJS):
620
 
621
$(VLT_MODULES_OBJS):
622
# Compile the module files
623
        @echo; echo "\tCompiling SystemC models"
624
        $(Q)cd $(SIM_VLT_DIR) && \
625
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
626
                echo;echo "\t$$SYSCMODEL"; echo; \
627
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
628
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
629
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
630
                 $(MAKE) -f $(VLT_EXE).mk $$SYSCMODEL.o; \
631
        done
632
 
633
$(SIM_VLT_DIR)/$(VLT_EXE)__ALL.a: $(SIM_VLT_DIR)/$(VLT_EXE).mk $(SYSC_MODEL_SOURCES)
634
        @echo; echo "\tCompiling main design"; echo
635
        $(Q)cd $(SIM_VLT_DIR) && \
636
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
637
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
638
        $(MAKE) -f $(VLT_EXE).mk $(VLT_EXE)__ALL.a
639
 
640
$(SIM_VLT_DIR)/$(VLT_EXE).mk: $(SIM_VLT_DIR)/$(VLT_SCRIPT) $(BENCH_SYSC_SRC_DIR)/libmodules.a
641
# Now call verilator to generate the .mk files
642
        $(Q)echo; echo "\tGenerating makefiles with Verilator"; echo
643
        $(Q)cd $(SIM_VLT_DIR) && \
644 363 julius
        verilator -language 1364-2001 --top-module orpsoc_top -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(SIM_VLT_DIR)/$(VLT_SCRIPT)
645 362 julius
 
646
# SystemC modules library
647
$(BENCH_SYSC_SRC_DIR)/libmodules.a:
648
        @echo; echo "\tCompiling SystemC modules"; echo
649
        $(Q)export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
650
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
651
 
652
print-vlt-model-link-paths:
653
        $(Q)echo $(VLT_MODEL_LINKS)
654
 
655
$(VLT_MODEL_LINKS):
656
# Link all the required system C model files into the verilator work dir
657
        for SYSCMODEL in $(SYSC_MODELS); do \
658
                if [ ! -e $(SIM_VLT_DIR)/$$SYSCMODEL.cpp ]; then \
659
                echo "\tLinking SystemC model $$SYSCMODEL  Verilator model build path"; \
660
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp $(SIM_VLT_DIR)/$$SYSCMODEL.cpp; \
661
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h $(SIM_VLT_DIR)/$$SYSCMODEL.h; \
662
                fi; \
663
        done
664
 
665
 
666
################################################################################
667
# Verilator model test rules
668
################################################################################
669
 
670
vlt-test: build-vlt clean-sim-test-sw sw
671
        $(SIM_VLT_DIR)/$(VLT_EXE) $(TEST)
672
 
673
vlt-tests:
674
        $(Q)for test in $(TESTS); do \
675
                export TEST=$$test; \
676
                $(MAKE) vlt-test; \
677
                if [ $$? -ne 0 ]; then break; fi; \
678
                echo; echo "\t### $$test test OK ###"; echo; \
679
        done
680
 
681
 
682
 
683
###############################################################################
684
# Verilator profiled model build rules
685
###############################################################################
686
# To run this, first run a "make prepare-vlt VLT_DO_PROFILING=1" then do a
687
# "make clean" and then a "make prepare-vlt_profiled"
688
# This new make target copies athe results of the profiling back to the right
689
# paths before we create everything again
690
###############################################################################
691
.PHONY: prepare-vlt-profiled
692 363 julius
prepare-vlt-profiled: $(SIM_VLT_DIR)/OrpsocMain.gcda \
693
        clean-vlt-after-profile-run \
694
        rtl $(SIM_VLT_DIR) $(DUMMY_FILES_FOR_VLT) $(VLT_MODEL_LINKS) \
695
        $(SIM_VLT_DIR)/$(VLT_EXE)
696 362 julius
 
697
$(SIM_VLT_DIR)/OrpsocMain.gcda: $(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling
698 397 julius
        $(MAKE) -C $(SW_DIR)/apps/dhry dhry.elf NUM_RUNS=5000
699 363 julius
#       $(SIM_VLT_DIR)/$(VLT_EXE) -f $(SW_DIR)/dhry/dhry.elf -v -l sim.log --crash-monitor
700 397 julius
        $(SIM_VLT_DIR)/$(VLT_EXE) -f $(SW_DIR)/apps/dhry/dhry.elf
701 362 julius
 
702 363 julius
# Clean all compiled things
703
clean-vlt-after-profile-run:
704
        $(Q)echo "\tCleaning away compiled cycle-accurate files"
705
        $(Q)rm -f $(SIM_VLT_DIR)/*.[oa] $(SIM_VLT_DIR)/$(VLT_EXE)
706
        $(Q)rm -f $(BENCH_SYSC_SRC_DIR)/*.[oa]
707
 
708
#.PHONY: $(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling
709 362 julius
$(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling:
710 363 julius
        $(MAKE) build-vlt VLT_DO_PERFORMANCE_PROFILE_BUILD=1
711 362 julius
 
712
.PHONY: vlt-restore-profileoutput
713
vlt-restore-profileoutput:
714
        @echo;echo "\tRestoring profiling outputs"; echo
715
        $(Q)mkdir -p ../vlt
716
        $(Q)cp /tmp/*.gc* $(SIM_VLT_DIR)
717
        $(Q)cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
718 363 julius
 
719
 
720
lint-vlt: $(SIM_VLT_DIR) rtl $(DUMMY_FILES_FOR_VLT) $(SIM_VLT_DIR)/$(VLT_SCRIPT)
721
        $(Q)echo; echo "\tLinting design with Verilator"; echo
722
        $(Q)cd $(SIM_VLT_DIR) && \
723
        verilator -language 1364-2001 --top-module orpsoc_top --lint-only -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(SIM_VLT_DIR)/$(VLT_SCRIPT)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.