OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 500

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####                                                              ####
12
####  Author(s):                                                  ####
13 360 julius
####      - Julius Baxter, julius@opencores.org                   ####
14 6 julius
####                                                              ####
15
####                                                              ####
16
######################################################################
17
####                                                              ####
18 348 julius
#### Copyright (C) 2009,2010 Authors and OPENCORES.ORG            ####
19 6 julius
####                                                              ####
20
#### This source file may be used and distributed without         ####
21
#### restriction provided that this copyright statement is not    ####
22
#### removed from the file and that any derivative work contains  ####
23
#### the original copyright notice and the associated disclaimer. ####
24
####                                                              ####
25
#### This source file is free software; you can redistribute it   ####
26
#### and/or modify it under the terms of the GNU Lesser General   ####
27
#### Public License as published by the Free Software Foundation; ####
28
#### either version 2.1 of the License, or (at your option) any   ####
29
#### later version.                                               ####
30
####                                                              ####
31
#### This source is distributed in the hope that it will be       ####
32
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
33
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
34
#### PURPOSE.  See the GNU Lesser General Public License for more ####
35
#### details.                                                     ####
36
####                                                              ####
37
#### You should have received a copy of the GNU Lesser General    ####
38
#### Public License along with this source; if not, download it   ####
39
#### from http://www.opencores.org/lgpl.shtml                     ####
40
####                                                              ####
41
######################################################################
42
 
43 360 julius
# Name of the directory we're currently in
44
CUR_DIR=$(shell pwd)
45 6 julius
 
46 360 julius
# The root path of the whole project
47
PROJECT_ROOT ?=$(CUR_DIR)/../..
48 6 julius
 
49 362 julius
DESIGN_NAME=orpsoc
50
RTL_TESTBENCH_TOP=$(DESIGN_NAME)_testbench
51
# Top level files for DUT and testbench
52
DUT_TOP=$(RTL_VERILOG_DIR)/$(DESIGN_NAME)_top/$(DESIGN_NAME)_top.v
53
BENCH_TOP=$(BENCH_VERILOG_DIR)/$(DESIGN_NAME)_testbench.v
54
 
55 360 julius
# Need this for individual test variables to not break
56
TEST ?= or1200-simple
57 6 julius
 
58 468 julius
TESTS ?= or1200-simple \
59
        or1200-basic \
60
        or1200-cbasic \
61
        or1200-dctest \
62
        or1200-float \
63
        or1200-mmu  \
64
        or1200-except \
65
        or1200-mac \
66 499 julius
        or1200-ext \
67 468 julius
        or1200-ffl1 \
68
        or1200-linkregtest \
69
        or1200-tick \
70
        or1200-ticksyscall \
71
        uart-simple
72 6 julius
 
73 360 julius
# Gets turned into verilog `define
74
SIM_TYPE=RTL
75 6 julius
 
76 360 julius
# Paths to other important parts of this test suite
77
RTL_DIR = $(PROJECT_ROOT)/rtl
78
RTL_VERILOG_DIR = $(RTL_DIR)/verilog
79
RTL_VERILOG_INCLUDE_DIR = $(RTL_VERILOG_DIR)/include
80
#RTL_VHDL_DIR = $(RTL_DIR)/vhdl
81 6 julius
 
82 360 julius
PROJECT_VERILOG_DEFINES=$(RTL_VERILOG_INCLUDE_DIR)/$(DESIGN_NAME)-defines.v
83
# Detect technology to use for the simulation
84 468 julius
DESIGN_DEFINES=$(shell cat $(PROJECT_VERILOG_DEFINES) | \
85
        sed s://.*::g | sed s:\`:\#:g | sed 's:^[ ]*::' | \
86
        awk '{print};/^\#define/{printf "_%s=%s\n",$$2,$$2}' | \
87
        grep -v PERIOD | cpp -P | sed s:^_::g | sed s:=$$::g )
88 6 julius
 
89 360 julius
# Rule to look at what defines are being extracted from main file
90
print-defines:
91
        @echo echo; echo "\t### Design defines ###"; echo
92
        @echo "\tParsing "$(PROJECT_VERILOG_DEFINES)" and exporting:"
93
        @echo $(DESIGN_DEFINES)
94 6 julius
 
95 360 julius
# Simulation directories
96
SIM_DIR ?=$(PROJECT_ROOT)/sim
97 362 julius
SIM_VLT_DIR ?=$(SIM_DIR)/vlt
98 360 julius
RTL_SIM_DIR=$(SIM_DIR)
99
RTL_SIM_RUN_DIR=$(RTL_SIM_DIR)/run
100
RTL_SIM_BIN_DIR=$(RTL_SIM_DIR)/bin
101
RTL_SIM_RESULTS_DIR=$(RTL_SIM_DIR)/out
102 6 julius
 
103 360 julius
# Testbench paths
104 6 julius
BENCH_DIR=$(PROJECT_ROOT)/bench
105
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
106 403 julius
BENCH_VERILOG_INCLUDE_DIR=$(BENCH_VERILOG_DIR)/include
107 360 julius
#BENCH_VHDL_DIR=$(BENCH_DIR)/vhdl
108 362 julius
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
109
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
110
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
111 360 julius
 
112 362 julius
 
113 360 julius
# System software dir
114 6 julius
SW_DIR=$(PROJECT_ROOT)/sw
115 360 julius
# BootROM code, which generates a verilog array select values
116
BOOTROM_FILE=bootrom.v
117
BOOTROM_SW_DIR=$(SW_DIR)/bootrom
118
BOOTROM_SRC=$(shell ls $(BOOTROM_SW_DIR)/* | grep -v $(BOOTROM_FILE))
119
BOOTROM_VERILOG=$(BOOTROM_SW_DIR)/$(BOOTROM_FILE)
120
$(BOOTROM_VERILOG): $(BOOTROM_SRC)
121
        $(Q)echo; echo "\t### Generating bootup ROM ###"; echo
122
        $(Q)$(MAKE) -C $(BOOTROM_SW_DIR) $(BOOTROM_FILE)
123 6 julius
 
124 360 julius
# Suffix of file to check after each test for the string
125
TEST_OUT_FILE_SUFFIX=-general.log
126
TEST_OK_STRING=8000000d
127 6 julius
 
128 360 julius
# Dynamically generated verilog file defining configuration for various things
129
TEST_DEFINES_VLG=test-defines.v
130 57 julius
# Set V=1 when calling make to enable verbose output
131
# mainly for debugging purposes.
132
ifeq ($(V), 1)
133
Q=
134 360 julius
QUIET=
135 57 julius
else
136 360 julius
Q ?=@
137
QUIET=-quiet
138 57 julius
endif
139
 
140 360 julius
# Modelsim variables
141
MGC_VSIM=vsim
142
MGC_VLOG_COMP=vlog
143
MGC_VHDL_COMP=vcom
144
MODELSIM=modelsim
145 6 julius
 
146 360 julius
# Icarus variables
147
ICARUS_COMPILE=iverilog
148
ICARUS_RUN=vvp
149
ICARUS_SCRIPT=icarus.scr
150
ICARUS_SIM_EXE=vlogsim.elf
151
ICARUS=icarus
152 58 julius
 
153 360 julius
#Default simulator is Icarus Verilog
154
# Set SIMULATOR=modelsim to use Modelsim
155
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog - TODO
156
# Set SIMULATOR=icarus to use Icarus Verilog (Default)
157 68 julius
 
158 58 julius
SIMULATOR ?= $(ICARUS)
159
 
160 360 julius
# VPI debugging interface variables
161
VPI_SRC_C_DIR=$(BENCH_VERILOG_DIR)/vpi/c
162 397 julius
VPI_SRC_VERILOG_DIR=$(BENCH_VERILOG_DIR)/vpi/verilog
163 360 julius
VPI_SRCS=$(shell ls $(VPI_SRC_C_DIR)/*.[ch])
164 58 julius
 
165 360 julius
# Modelsim VPI compile variables
166
MODELTECH_VPILIB=msim_jp_vpi.sl
167
# Icarus VPI compile target
168
ICARUS_VPILIB=jp_vpi
169 58 julius
 
170 360 julius
#
171
# Modelsim-specific settings
172
#
173
VOPT_ARGS=$(QUIET) -suppress 2241
174 55 julius
# If VCD dump is desired, tell Modelsim not to optimise
175
# away everything.
176
ifeq ($(VCD), 1)
177 485 julius
# If certain versions of modelsim don't have the vopt executable, define
178
# MGC_NO_VOPT=1 when running.
179
ifeq ($(MGC_NO_VOPT), 1)
180
MGC_VSIM_ARGS +=-voptargs="+acc=rnp"
181
MGC_VOPT_CMD=echo
182
MGC_VSIM_TGT=orpsoc_testbench
183
else
184 360 julius
VOPT_ARGS=+acc=rnpqv
185 485 julius
MGC_VOPT_CMD= vopt $(QUIET) $(RTL_TESTBENCH_TOP) $(VOPT_ARGS) -o tb
186
MGC_VSIM_TGT=tb
187 55 julius
endif
188 485 julius
 
189
else
190
 
191
ifeq ($(MGC_NO_VOPT), 1)
192
MGC_VSIM_ARGS += -vopt
193
MGC_VOPT_CMD=echo
194
MGC_VSIM_TGT=orpsoc_testbench
195
else
196
VOPT_ARGS=+acc=rnpqv
197
MGC_VOPT_CMD= vopt $(QUIET) $(RTL_TESTBENCH_TOP) $(VOPT_ARGS) -o tb
198
MGC_VSIM_TGT=tb
199
endif
200
 
201
 
202
endif
203 360 julius
# VSIM commands
204
# Suppressed warnings - 3009: Failed to open $readmemh() file
205 475 julius
# Suppressed warnings - 3009: Module 'blah' does not have a `timescale
206
#                       directive in effect, but previous modules do.
207
# Suppressed warnings - 8598: Non-positive replication multiplier inside
208
#                       concat. Replication will be ignored
209 485 julius
MGC_VSIM_ARGS +=  -suppress 7 -suppress 3009 -suppress 8598 -c $(QUIET) \
210 475 julius
                -do "set StdArithNoWarnings 1; run -all; exit"
211 397 julius
# Options required when VPI option used
212 360 julius
ifeq ($(VPI), 1)
213 397 julius
MGC_VPI_LIB=$(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
214
MGC_VSIM_ARGS += -pli $(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
215
 
216
ICARUS_VPI_LIB=$(VPI_SRC_C_DIR)/$(ICARUS_VPILIB)
217
ICARUS_VPI_ARGS=-M$(VPI_SRC_C_DIR) -m$(ICARUS_VPILIB)
218 58 julius
endif
219 397 julius
# Rule to make the VPI library for Modelsim
220
$(MGC_VPI_LIB): $(VPI_SRCS)
221 360 julius
        $(MAKE) -C $(VPI_SRC_C_DIR) $(MODELTECH_VPILIB)
222 58 julius
 
223 397 julius
# Rule to make VPI library for Icarus Verilog
224
$(ICARUS_VPI_LIB): $(VPI_SRCS)
225 360 julius
        $(MAKE) -C $(VPI_SRC_C_DIR) $(ICARUS_VPILIB)
226 77 rherveille
 
227 397 julius
# Manually add the VPI bench verilog path
228
BENCH_VERILOG_SRC_SUBDIRS += $(VPI_SRC_VERILOG_DIR)
229
 
230 360 julius
#
231
# Verilog DUT source variables
232
#
233
# A list of paths under rtl/verilog we wish to exclude for module searching
234
VERILOG_MODULES_EXCLUDE=  include components
235 475 julius
VERILOG_MODULES_EXCLUDE_LIST_E=$(shell for exclude in \
236
                $(VERILOG_MODULES_EXCLUDE); do echo "-e $$exclude"; done)
237
RTL_VERILOG_MODULES=$(shell ls $(RTL_VERILOG_DIR) | grep -v \
238
                        $(VERILOG_MODULES_EXCLUDE_LIST_E) )
239 360 julius
# Specific files to exclude, currently none.
240
#VERILOG_EXCLUDE=
241 475 julius
#VERILOG_EXCLUDE_LIST_E=$(shell for exclude in $(VERILOG_EXCLUDE); \
242
                do echo "-e $$exclude"; done)
243 360 julius
# List of verilog source files, minus excluded files
244 475 julius
#RTL_VERILOG_SRC=$(shell for module in $(RTL_VERILOG_MODULES); do \
245
        if [ -d $(RTL_VERILOG_DIR)/$$module ]; then \
246
                ls $(RTL_VERILOG_DIR)/$$module/*.v | grep -v \
247
                        $(VERILOG_EXCLUDE_LIST_E); \
248
        fi; done)
249 360 julius
# List of verilog source files, ignoring excludes
250 475 julius
RTL_VERILOG_SRC=$(shell for module in $(RTL_VERILOG_MODULES); do \
251
        if [ -d $(RTL_VERILOG_DIR)/$$module ]; then \
252
                ls $(RTL_VERILOG_DIR)/$$module/*.v; \
253
        fi; done)
254 6 julius
 
255 360 julius
# List of verilog includes
256
RTL_VERILOG_INCLUDES=$(shell ls $(RTL_VERILOG_INCLUDE_DIR)/*.*)
257 6 julius
 
258 360 julius
print-verilog-src:
259
        @echo echo; echo "\t### Verilog source ###"; echo
260
        @echo $(RTL_VERILOG_SRC)
261 51 julius
 
262 360 julius
# Rules to make RTL we might need
263
# Expects modules, if they need making, to have their top verilog file to
264
# correspond to their module name, and the directory should have a make file
265
# and rule which works for this command.
266
# Add name of module to this list, currently only does verilog ones.
267
# Rule 'rtl' is called just before generating DUT modelsim compilation script
268
RTL_TO_CHECK=
269
rtl:
270
        $(Q)for module in $(RTL_TO_CHECK); do \
271
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module $$module.v; \
272
        done
273 6 julius
 
274 55 julius
#
275 360 julius
# VHDL DUT source variables
276 55 julius
#
277 360 julius
# VHDL modules
278
#RTL_VHDL_MODULES=$(shell ls $(RTL_VHDL_DIR))
279
# VHDL sources
280 475 julius
#RTL_VHDL_SRC=$(shell for module in $(RTL_VHDL_MODULES); do \
281
        if [ -d $(RTL_VHDL_DIR)/$$module ]; then \
282
                ls $(RTL_VHDL_DIR)/$$module/*.vhd; \
283
        fi; done)
284 360 julius
#print-vhdl-src:
285
#       @echo echo; echo "\t### VHDL modules and source ###"; echo
286
#       @echo "modules: "; echo $(RTL_VHDL_MODULES); echo
287
#       @echo "source: "$(RTL_VHDL_SRC)
288 6 julius
 
289 40 julius
 
290 360 julius
# Testbench verilog source
291 475 julius
BENCH_VERILOG_SRC=$(shell ls $(BENCH_VERILOG_DIR)/*.v | grep -v \
292
        $(DESIGN_NAME)_testbench )
293 40 julius
 
294 362 julius
print-bench-src:
295
        $(Q)echo "\tBench verilog source"; \
296
        echo $(BENCH_VERILOG_SRC)
297
 
298 360 julius
# Testbench source subdirectory detection
299 475 julius
BENCH_VERILOG_SRC_SUBDIRS +=$(shell for file in `ls $(BENCH_VERILOG_DIR)`; do \
300
        if [ -d $(BENCH_VERILOG_DIR)/$$file ]; then \
301
                echo $(BENCH_VERILOG_DIR)/$$file; \
302
        fi; done)
303 40 julius
 
304 360 julius
# Compile script generation rules:
305 40 julius
 
306 475 julius
modelsim_dut.scr: rtl $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) \
307
                        $(BOOTROM_VERILOG)
308 360 julius
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
309
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
310 403 julius
        $(Q)echo "+incdir+"$(BENCH_VERILOG_INCLUDE_DIR) >> $@;
311 360 julius
        $(Q)echo "+libext+.v" >> $@;
312 475 julius
        $(Q)for module in $(RTL_VERILOG_MODULES); do \
313
                if [ -d $(RTL_VERILOG_DIR)/$$module ]; then \
314
                        echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; \
315
                fi; done
316 360 julius
        $(Q)echo >> $@
317 6 julius
 
318 360 julius
modelsim_bench.scr: $(BENCH_VERILOG_SRC)
319
        $(Q)echo "+incdir+"$(BENCH_VERILOG_DIR) > $@;
320 475 julius
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do \
321
                echo "+incdir+"$$path >> $@; \
322
        done
323
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do \
324
                echo "-y "$$path >> $@; \
325
        done
326 360 julius
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) >> $@;
327
        $(Q)echo "+libext+.v" >> $@;
328
        $(Q)for vsrc in $(BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
329
        $(Q)echo >> $@
330 6 julius
 
331 360 julius
# Compile DUT into "work" library
332
work: modelsim_dut.scr #$(RTL_VHDL_SRC)
333
        $(Q)if [ ! -e $@ ]; then vlib $@; fi
334
#       $(Q)echo; echo "\t### Compiling VHDL design library ###"; echo
335
#       $(Q)vcom -93 $(QUIET) $(RTL_VHDL_SRC)
336
        $(Q)echo; echo "\t### Compiling Verilog design library ###"; echo
337
        $(Q)vlog $(QUIET) -f $< $(DUT_TOP)
338 6 julius
 
339 360 julius
# Single compile rule
340
.PHONY : $(MODELSIM)
341 397 julius
$(MODELSIM): modelsim_bench.scr $(TEST_DEFINES_VLG) $(MGC_VPI_LIB) work
342 360 julius
        $(Q)echo; echo "\t### Compiling testbench ###"; echo
343 362 julius
        $(Q)vlog $(QUIET) -nologo -incr $(BENCH_TOP) -f $<
344 485 julius
        $(Q)$(MGC_VOPT_CMD)
345 360 julius
        $(Q)echo; echo "\t### Launching simulation ###"; echo
346 485 julius
        $(Q)vsim $(VOPT_ARGS) $(MGC_VSIM_ARGS) $(MGC_VSIM_TGT)
347 6 julius
 
348 360 julius
#
349
# Icarus Verilog simulator build and run rules
350
#
351
.PHONY: $(ICARUS_SCRIPT)
352 475 julius
$(ICARUS_SCRIPT):  $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) \
353
                $(BOOTROM_VERILOG) $(BENCH_VERILOG_SRC)
354 360 julius
        $(Q)echo "# Icarus Verilog simulation script" > $@
355
        $(Q)echo "# Auto generated. Any alterations will be written over!" >> $@
356
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
357
        $(Q)echo "+incdir+"$(BENCH_VERILOG_DIR) >> $@;
358
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
359 475 julius
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do \
360
                echo "+incdir+"$$path >> $@; \
361
        done
362
        $(Q)for path in $(BENCH_VERILOG_SRC_SUBDIRS); do \
363
                echo "-y "$$path >> $@; \
364
        done
365
        $(Q)for module in $(RTL_VERILOG_MODULES); do \
366
                echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; \
367
        done
368 362 julius
        $(Q)echo "-y" $(BENCH_VERILOG_DIR) >> $@;
369
        $(Q)echo $(BENCH_TOP) >> $@;
370 360 julius
        $(Q) echo >> $@
371 6 julius
 
372 360 julius
# Icarus design compilation rule
373
$(ICARUS_SIM_EXE): $(ICARUS_SCRIPT) $(TEST_DEFINES_VLG)
374
        $(Q)echo; echo "\t### Compiling ###"; echo
375
        $(Q) $(ICARUS_COMPILE) -s$(RTL_TESTBENCH_TOP) -c $< -o $@
376 49 julius
 
377 360 julius
# Icarus simulation run rule
378
$(ICARUS): $(ICARUS_SIM_EXE) $(ICARUS_VPI_LIB)
379
        $(Q)echo; echo "\t### Launching simulation ###"; echo
380
        $(Q) $(ICARUS_RUN) $(ICARUS_VPI_ARGS) -l ../out/$(ICARUS_RUN).log $<
381 63 julius
 
382 49 julius
 
383
 
384 360 julius
.PHONY: rtl-test
385 425 julius
rtl-test: clean-sim-test-sw sw-vmem clean-test-defines $(TEST_DEFINES_VLG) \
386 360 julius
        $(SIMULATOR)
387 6 julius
 
388 360 julius
# Run an RTL test followed by checking of generated results
389
rtl-test-with-check: rtl-test
390
        $(Q)$(MAKE) check-test-log; \
391
        if [ $$? -ne 0 ]; then \
392
                echo; echo "\t### "$(TEST)" test FAIL ###"; echo; \
393
        else \
394
                echo; echo "\t### "$(TEST)" test OK ###"; echo; \
395
        fi
396 6 julius
 
397 360 julius
# Do check, don't print anything out
398
rtl-test-with-check-no-print: rtl-test check-test-log
399 6 julius
 
400 360 julius
# Main RTL test loop
401
rtl-tests:
402
        $(Q)for test in $(TESTS); do \
403
                export TEST=$$test; \
404
                $(MAKE) rtl-test-with-check-no-print; \
405
                if [ $$? -ne 0 ]; then break; fi; \
406
                echo; echo "\t### $$test test OK ###"; echo; \
407 6 julius
        done
408
 
409
 
410 360 julius
.PHONY: check-test-log
411
check-test-log:
412
        $(Q)echo "#!/bin/bash" > $@
413
        $(Q)echo "function check-test-log { if [ \`grep -c -i "$(TEST_OK_STRING)" "$(RTL_SIM_RESULTS_DIR)"/"$(TEST)$(TEST_OUT_FILE_SUFFIX)"\` -gt 0 ]; then return 0; else return 1; fi; }" >> $@
414
        $(Q)echo "check-test-log" >> $@
415
        $(Q)chmod +x $@
416
        $(Q) echo; echo "\t### Checking simulation results for "$(TEST)" test ###"; echo;
417
        $(Q)./$@
418 6 julius
 
419 403 julius
# Include the test-defines.v generation rule
420
include ../bin/definesgen.inc
421 6 julius
 
422 403 julius
#
423
# Software make rules (called recursively)
424
#
425 51 julius
 
426 403 julius
# Path for the current test
427 393 julius
TEST_SW_DIR=$(SW_DIR)/tests/$(shell echo $(TEST) | cut -d "-" -f 1)/sim
428 6 julius
 
429 468 julius
# This file name corresponds to the VMEM file the RAM models will attempt to
430
# load via $readmemh().
431 500 julius
SIM_DIR_VMEM_IMAGE ?=sram.vmem
432 6 julius
 
433 468 julius
ifeq ($(USER_ELF),)
434
ELF_FILE=$(TEST_SW_DIR)/$(TEST).elf
435
else
436
ELF_FILE=$(USER_ELF)
437
ELF_FILE_NOTDIR=$(notdir $(USER_ELF))
438
ELF_FILE_NOTDIR_BASENAME=$(basename $(ELF_FILE_NOTDIR))
439
endif
440 6 julius
 
441 468 julius
# Rules allowing user to specify a pre-existing VMEM file to load into the
442
# simulation, instead of compiling a test.
443
ifeq ($(USER_VMEM),)
444
VMEM_FILE=$(TEST_SW_DIR)/$(TEST).vmem
445
.PHONY: $(VMEM_FILE)
446
 
447
 
448
# If USER_ELF was specified, then we need a slightly different way of
449
# generating the VMEM file from it than a usual test
450
ifeq ($(USER_ELF),)
451 500 julius
$(SIM_DIR_VMEM_IMAGE): $(VMEM_FILE)
452 360 julius
        $(Q)if [ -L $@ ]; then unlink $@; fi
453 468 julius
        $(Q)if [ -e $@ ]; then rm $@; fi
454 360 julius
        $(Q)ln -s $< $@
455 468 julius
else
456 500 julius
$(SIM_DIR_VMEM_IMAGE): $(USER_ELF)
457 468 julius
        $(Q)$(MAKE) -C $(SW_DIR)/lib \
458
        USER_ELF_BIN=`pwd`/$(ELF_FILE_NOTDIR_BASENAME).bin \
459
        USER_ELF_VMEM=`pwd`/$(ELF_FILE_NOTDIR_BASENAME).vmem \
460
        gen-user-elf-vmem
461
        $(Q)cp -v $(ELF_FILE_NOTDIR_BASENAME).vmem $@
462 6 julius
 
463 468 julius
TEST=$(ELF_FILE_NOTDIR_BASENAME)
464
endif
465
 
466
else
467
VMEM_FILE=$(USER_VMEM)
468
USER_VMEM_NOTDIR=$(notdir $(USER_VMEM))
469
TEST=$(basename $(USER_VMEM_NOTDIR))
470
 
471 500 julius
$(SIM_DIR_VMEM_IMAGE): $(VMEM_FILE)
472 468 julius
        cp -v $< $@
473
 
474
endif
475
 
476
 
477
 
478
 
479
.PHONY : sw-vmem sw-elf
480 500 julius
sw-vmem: $(SIM_DIR_VMEM_IMAGE)
481 468 julius
 
482 360 julius
$(TEST_SW_DIR)/$(TEST).vmem:
483
        $(Q) echo; echo "\t### Compiling software ###"; echo;
484
        $(Q)$(MAKE) -C $(TEST_SW_DIR) $(TEST).vmem
485 63 julius
 
486 425 julius
# Compile ELF and copy it here
487 468 julius
sw-elf: $(ELF_FILE)
488 425 julius
        $(Q)cp -v $< .
489
 
490
$(TEST_SW_DIR)/$(TEST).elf:
491
        $(Q) echo; echo "\t### Compiling software ###"; echo;
492
        $(Q)$(MAKE) -C $(TEST_SW_DIR) $(TEST).elf
493
 
494
 
495 397 julius
# Rule to force generation of the processed orpsoc-defines.h file
496
processed-verilog-headers-in-c-for-vlt:
497
        $(Q)$(MAKE) -C $(SW_DIR)/lib processed-verilog-headers
498
# Now copy the file into the Verilated model build path
499
        $(Q)cp $(SW_DIR)/lib/include/orpsoc-defines.h $(SIM_VLT_DIR)
500
 
501 435 julius
# Create test software disassembly
502
 
503
sw-dis: $(TEST_SW_DIR)/$(TEST).dis
504
        $(Q)cp -v $< .
505
 
506
$(TEST_SW_DIR)/$(TEST).dis:
507
        $(Q)$(MAKE) -C $(TEST_SW_DIR) $(TEST).dis
508
 
509
 
510 360 julius
#
511
# Cleaning rules
512
#
513 362 julius
clean: clean-sim clean-sim-test-sw clean-bootrom clean-vlt clean-out clean-sw
514 63 julius
 
515 360 julius
clean-sim:
516
        $(Q) echo; echo "\t### Cleaning simulation run directory ###"; echo;
517
        $(Q)rm -rf *.* lib_* work transcript check-test-log
518 468 julius
        $(Q) if [ -e $(VPI_SRC_C_DIR) ]; then \
519
                $(MAKE) -C $(VPI_SRC_C_DIR) clean; \
520
        fi
521 6 julius
 
522 360 julius
clean-bootrom:
523
        $(MAKE) -C $(BOOTROM_SW_DIR) clean
524 6 julius
 
525 360 julius
clean-out:
526
        $(Q)rm -rf $(RTL_SIM_RESULTS_DIR)/*.*
527 6 julius
 
528 363 julius
# Clean away verilator build path and objects in SystemC path
529 362 julius
clean-vlt:
530
        $(Q)rm -rf $(SIM_VLT_DIR)
531 475 julius
        $(Q)$(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f \
532
                $(BENCH_SYSC_SRC_DIR)/Modules.make clean
533 362 julius
 
534 360 julius
clean-test-defines:
535
        $(Q)rm -f $(TEST_DEFINES_VLG)
536 6 julius
 
537 360 julius
clean-sim-test-sw:
538 500 julius
        $(Q)if [ -L $(SIM_DIR_VMEM_IMAGE) ]; then unlink $(SIM_DIR_VMEM_IMAGE); fi
539 6 julius
 
540
clean-sw:
541 360 julius
        $(Q) echo; echo "\t### Cleaning simulation sw directories ###"; echo;
542 449 julius
        $(Q) $(MAKE) -C $(SW_DIR)/lib distclean
543 6 julius
 
544 36 julius
clean-rtl:
545 360 julius
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
546
        for module in $(RTL_TO_CHECK); do \
547
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module clean; \
548
        done
549 44 julius
 
550 360 julius
# Removes any checked out RTL
551
distclean: clean
552
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
553
        $(Q)for module in $(RTL_TO_CHECK); do \
554
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module distclean; \
555
        done
556 362 julius
 
557
################################################################################
558
# Verilator model build rules
559
################################################################################
560
 
561
VLT_EXE=Vorpsoc_top
562
VLT_SCRIPT=verilator.scr
563
 
564
# Script for Verilator
565 475 julius
$(SIM_VLT_DIR)/$(VLT_SCRIPT): $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) \
566
                                $(BOOTROM_VERILOG)
567 362 julius
        $(Q)echo "\tGenerating Verilator script"
568
        $(Q)echo "# Verilator sources script" > $@
569
        $(Q)echo "# Auto generated. Any alterations will be written over!" >> $@
570
        $(Q)echo "+incdir+"$(RTL_VERILOG_INCLUDE_DIR) > $@;
571
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
572
        $(Q)echo "+incdir+"$(SIM_RUN_DIR) >> $@;
573 475 julius
        $(Q)for module in $(RTL_VERILOG_MODULES); do \
574
                echo "-y " $(RTL_VERILOG_DIR)/$$module >> $@; \
575
        done
576 362 julius
        $(Q)echo $(DUT_TOP) >> $@;
577
        $(Q) echo >> $@
578
 
579
 
580
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
581
 
582
 
583
# List of System C models - use this list to link the sources into the Verilator
584
# build directory
585
SYSC_MODELS=OrpsocAccess MemoryLoad
586
 
587 363 julius
ifdef VLT_LINT
588
VLT_FLAGS +=--lint-only
589
endif
590
 
591 362 julius
ifdef VLT_DEBUG
592
VLT_DEBUG_COMPILE_FLAGS = -g
593
# Enabling the following generates a TON of debugging
594
# when running verilator. Not so helpful.
595 363 julius
#VLT_FLAGS = --debug --dump-tree
596 362 julius
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
597
endif
598
 
599 363 julius
# This will build a verilator model that will generate profiling information
600
# suitable for gprof
601
# Run it through gprof after exection with: gprof Vorpsoc_top > gprof.out
602
# then run this through the Verilator tool with:
603
# verilator_profcfunc gprof.out > vprof.out
604
ifdef VLT_EXECUTION_PROFILE_BUILD
605
VLT_CPPFLAGS +=-g -pg
606
# Maybe add these to VLT_CPPFLAGS: -ftest-coverage -fprofile-arcs
607
VLT_FLAGS +=-profile-cfuncs
608
endif
609
 
610
# If set on the command line we build the cycle accurate model which will
611
# generate verilator-specific profiling information. This is useful for
612
# checking the efficiency of the model - not really useful for checking code
613
# or the function of the model.
614
ifdef VLT_DO_PERFORMANCE_PROFILE_BUILD
615 475 julius
VLT_CPPFLAGS += -fprofile-generate -fbranch-probabilities -fvpt \
616
                -funroll-loops -fpeel-loops -ftracer
617 362 julius
else
618
VLT_CPPFLAGS +=-fprofile-use -Wcoverage-mismatch
619
endif
620
 
621 363 julius
# Set VLT_IN_GDB=1 when making if going to run the cycle accurate model
622
# executable in GDB.
623 362 julius
ifdef VLT_IN_GDB
624
VLT_CPPFLAGS +=-g -O0
625
else
626
# The default optimisation flag applied to all of the cycle accurate model files
627
VLT_CPPFLAGS +=-O3
628
endif
629
 
630
# VCD Enabled by default when building, enable it at runtime
631
VLT_FLAGS +=-trace
632
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
633
 
634 363 julius
# Verilator tuning
635
# Inlining:
636
VLT_FLAGS +=--inline-mult 1
637
# Optimisation option for Verilator scripts
638
VLT_FLAGS +=-O3
639 475 julius
# X-assign - at reset, all signals are set to random values, helps find
640
# reset bugs
641 363 julius
VLT_FLAGS +=-x-assign unique
642
 
643 362 julius
VLT_TRACEOBJ = verilated_vcd_c
644
 
645 363 julius
 
646 362 julius
# This is the list of extra models we'll issue make commands for
647
# Included is the SystemPerl trace model
648
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
649
 
650
# List of sources for rule sensitivity
651
SYSC_MODEL_SOURCES=$(shell ls $(BENCH_SYSC_SRC_DIR)/*.cpp)
652
SYSC_MODEL_SOURCES +=$(shell ls $(BENCH_SYSC_INCLUDE_DIR)/*.h)
653
 
654 475 julius
VLT_MODULES_OBJS=$(shell for mod in $(SYSC_MODELS_BUILD); do \
655
                echo $(SIM_VLT_DIR)/$$mod.o; \
656
        done)
657 362 julius
 
658 475 julius
VLT_MODEL_LINKS=$(shell for SYSCMODEL in $(SYSC_MODELS); do \
659
                echo $(SIM_VLT_DIR)/$$SYSCMODEL.cpp; \
660
        done)
661 362 julius
 
662
# Make Verilator build path if it doesn't exist
663
$(SIM_VLT_DIR):
664
        mkdir -p $@
665
 
666
# Dummy files the RTL requires: timescale.v
667
DUMMY_FILES_FOR_VLT=$(SIM_VLT_DIR)/timescale.v
668
$(DUMMY_FILES_FOR_VLT):
669
        $(Q)for file in $@; do if [ ! -e $$file ]; then touch $$file; fi; done
670
 
671 397 julius
build-vlt: rtl $(SIM_VLT_DIR) $(DUMMY_FILES_FOR_VLT) $(VLT_MODEL_LINKS) \
672
        processed-verilog-headers-in-c-for-vlt  $(SIM_VLT_DIR)/$(VLT_EXE)
673 362 julius
 
674
# Main Cycle-accurate build rule
675
prepare-vlt: build-vlt
676
        @echo;echo "\tCycle-accurate model compiled successfully"
677
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
678
        $(SIM_VLT_DIR)/$(VLT_EXE) -h
679
        @echo;echo
680
 
681 475 julius
$(SIM_VLT_DIR)/$(VLT_EXE): $(SIM_VLT_DIR)/lib$(VLT_EXE).a \
682
                                $(SIM_VLT_DIR)/OrpsocMain.o
683
# Final linking of the simulation executable. Order of libraries here is
684
# important!
685 362 julius
        $(Q)echo; echo "\tGenerating simulation executable"; echo
686 475 julius
        $(Q)cd $(SIM_VLT_DIR) && \
687
                g++ $(VLT_DEBUG_COMPILE_FLAGS) \
688
                $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) \
689
                -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o $(VLT_EXE) \
690
                -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) \
691
                OrpsocMain.o -l$(VLT_EXE) -lmodules -lsystemc
692 362 julius
 
693 475 julius
# Now compile the top level systemC "testbench" module from the systemC source
694
# path
695 362 julius
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
696
        @echo; echo "\tCompiling top level SystemC testbench"; echo
697 475 julius
        cd $(SIM_VLT_DIR) && \
698
        g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) \
699
        -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) \
700
        -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c \
701
        $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
702 362 julius
 
703 475 julius
$(SIM_VLT_DIR)/lib$(VLT_EXE).a: $(SIM_VLT_DIR)/$(VLT_EXE)__ALL.a \
704
                $(VLT_MODULES_OBJS) $(SIM_VLT_DIR)/verilated.o
705
# Now archive all of the libraries from verilator witht he other modules we
706
# might have
707 362 julius
        @echo; echo "\tArchiving libraries into lib"$(VLT_EXE)".a"; echo
708
        $(Q)cd $(SIM_VLT_DIR) && \
709
        cp $(VLT_EXE)__ALL.a lib$(VLT_EXE).a && \
710
        ar rcs lib$(VLT_EXE).a verilated.o; \
711
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
712
                ar rcs lib$(VLT_EXE).a $$SYSCMODEL.o; \
713
        done
714
 
715
$(SIM_VLT_DIR)/verilated.o: $(SYSC_MODEL_SOURCES)
716
        @echo; echo "\tCompiling verilated.o"; echo
717
        $(Q)cd $(SIM_VLT_DIR) && \
718
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
719
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
720
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
721
        $(MAKE) -f $(VLT_EXE).mk verilated.o
722
 
723
print-sysmod-objs:
724
        $(Q)echo $(VLT_MODULES_OBJS):
725
 
726
$(VLT_MODULES_OBJS):
727
# Compile the module files
728
        @echo; echo "\tCompiling SystemC models"
729
        $(Q)cd $(SIM_VLT_DIR) && \
730
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
731
                echo;echo "\t$$SYSCMODEL"; echo; \
732
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
733
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
734
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
735
                 $(MAKE) -f $(VLT_EXE).mk $$SYSCMODEL.o; \
736
        done
737
 
738 475 julius
$(SIM_VLT_DIR)/$(VLT_EXE)__ALL.a: $(SIM_VLT_DIR)/$(VLT_EXE).mk \
739
                $(SYSC_MODEL_SOURCES)
740 362 julius
        @echo; echo "\tCompiling main design"; echo
741
        $(Q)cd $(SIM_VLT_DIR) && \
742
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
743
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
744
        $(MAKE) -f $(VLT_EXE).mk $(VLT_EXE)__ALL.a
745
 
746 475 julius
$(SIM_VLT_DIR)/$(VLT_EXE).mk: $(SIM_VLT_DIR)/$(VLT_SCRIPT) \
747
                $(BENCH_SYSC_SRC_DIR)/libmodules.a
748 362 julius
# Now call verilator to generate the .mk files
749
        $(Q)echo; echo "\tGenerating makefiles with Verilator"; echo
750
        $(Q)cd $(SIM_VLT_DIR) && \
751 475 julius
        verilator -language 1364-2001 --top-module orpsoc_top -Mdir . -sc \
752
        $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) \
753
        -f $(SIM_VLT_DIR)/$(VLT_SCRIPT)
754 362 julius
 
755
# SystemC modules library
756
$(BENCH_SYSC_SRC_DIR)/libmodules.a:
757
        @echo; echo "\tCompiling SystemC modules"; echo
758
        $(Q)export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
759 475 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) \
760
        -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
761 362 julius
 
762
print-vlt-model-link-paths:
763
        $(Q)echo $(VLT_MODEL_LINKS)
764
 
765
$(VLT_MODEL_LINKS):
766
# Link all the required system C model files into the verilator work dir
767 476 julius
        $(Q)for SYSCMODEL in $(SYSC_MODELS); do \
768 362 julius
                if [ ! -e $(SIM_VLT_DIR)/$$SYSCMODEL.cpp ]; then \
769 476 julius
                echo \
770
        "\tLinking SystemC model $$SYSCMODEL  Verilator model build path"; \
771 475 julius
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp \
772
                                $(SIM_VLT_DIR)/$$SYSCMODEL.cpp; \
773
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h \
774
                                $(SIM_VLT_DIR)/$$SYSCMODEL.h; \
775 362 julius
                fi; \
776
        done
777
 
778
 
779
################################################################################
780
# Verilator model test rules
781
################################################################################
782
 
783 425 julius
vlt-test: build-vlt clean-sim-test-sw sw-vmem
784 362 julius
        $(SIM_VLT_DIR)/$(VLT_EXE) $(TEST)
785
 
786
vlt-tests:
787
        $(Q)for test in $(TESTS); do \
788
                export TEST=$$test; \
789
                $(MAKE) vlt-test; \
790
                if [ $$? -ne 0 ]; then break; fi; \
791
                echo; echo "\t### $$test test OK ###"; echo; \
792
        done
793
 
794
 
795
 
796
###############################################################################
797
# Verilator profiled model build rules
798
###############################################################################
799 476 julius
# To run this, first run a "make prepare-vlt-profiled"
800 362 julius
# This new make target copies athe results of the profiling back to the right
801
# paths before we create everything again
802
###############################################################################
803
.PHONY: prepare-vlt-profiled
804 363 julius
prepare-vlt-profiled: $(SIM_VLT_DIR)/OrpsocMain.gcda \
805
        clean-vlt-after-profile-run \
806
        rtl $(SIM_VLT_DIR) $(DUMMY_FILES_FOR_VLT) $(VLT_MODEL_LINKS) \
807
        $(SIM_VLT_DIR)/$(VLT_EXE)
808 362 julius
 
809
$(SIM_VLT_DIR)/OrpsocMain.gcda: $(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling
810 397 julius
        $(MAKE) -C $(SW_DIR)/apps/dhry dhry.elf NUM_RUNS=5000
811
        $(SIM_VLT_DIR)/$(VLT_EXE) -f $(SW_DIR)/apps/dhry/dhry.elf
812 362 julius
 
813 363 julius
# Clean all compiled things
814
clean-vlt-after-profile-run:
815
        $(Q)echo "\tCleaning away compiled cycle-accurate files"
816
        $(Q)rm -f $(SIM_VLT_DIR)/*.[oa] $(SIM_VLT_DIR)/$(VLT_EXE)
817
        $(Q)rm -f $(BENCH_SYSC_SRC_DIR)/*.[oa]
818
 
819
#.PHONY: $(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling
820 362 julius
$(SIM_VLT_DIR)/$(VLT_EXE)-for-profiling:
821 363 julius
        $(MAKE) build-vlt VLT_DO_PERFORMANCE_PROFILE_BUILD=1
822 362 julius
 
823
.PHONY: vlt-restore-profileoutput
824
vlt-restore-profileoutput:
825
        @echo;echo "\tRestoring profiling outputs"; echo
826
        $(Q)mkdir -p ../vlt
827
        $(Q)cp /tmp/*.gc* $(SIM_VLT_DIR)
828
        $(Q)cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
829 363 julius
 
830
 
831
lint-vlt: $(SIM_VLT_DIR) rtl $(DUMMY_FILES_FOR_VLT) $(SIM_VLT_DIR)/$(VLT_SCRIPT)
832
        $(Q)echo; echo "\tLinting design with Verilator"; echo
833
        $(Q)cd $(SIM_VLT_DIR) && \
834 475 julius
        verilator -language 1364-2001 --top-module orpsoc_top --lint-only \
835
        -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) \
836
        -I$(BENCH_SYSC_SRC_DIR) -f $(SIM_VLT_DIR)/$(VLT_SCRIPT)
837 425 julius
 
838
################################################################################
839
# Architectural simulator test rules
840
################################################################################
841
 
842
ARCH_SIM_EXE ?=or32-elf-sim
843 475 julius
ARCH_SIM_CFG ?= ../bin/refdesign-or1ksim.cfg
844 425 julius
ARCH_SIM_OPTS ?= -q
845
 
846
.PHONY: rtl-test
847
sim-test: clean-sim-test-sw sw-elf
848 431 julius
        $(Q)echo; echo "\t### Launching simulation ###"; echo
849 425 julius
        $(Q)$(ARCH_SIM_EXE) $(ARCH_SIM_OPTS) -f $(ARCH_SIM_CFG) $(TEST).elf > \
850
        $(RTL_SIM_RESULTS_DIR)/$(TEST)$(TEST_OUT_FILE_SUFFIX)
851
 
852
# Run tests in simulation, check output
853
sim-test-with-check: sim-test check-test-log
854
 
855
# Main architectural simulations test loop
856
sim-tests:
857
        $(Q)for test in $(TESTS); do \
858
                export TEST=$$test; \
859
                $(MAKE) sim-test-with-check; \
860
                if [ $$? -ne 0 ]; then break; fi; \
861
                echo; echo "\t### $$test test OK ###"; echo; \
862
        done

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.