OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####    * Test if each software test file gets made properly      ####
12
####      before it's run in whatever model we're using           ####
13
####    * Expand software test-suite (uClibc, ecos tests, LTP?)   ####
14
####                                                              ####
15
####  Author(s):                                                  ####
16
####      - jb, jb@orsoc.se                                       ####
17
####                                                              ####
18
####                                                              ####
19
######################################################################
20
####                                                              ####
21
#### Copyright (C) 2009 Authors and OPENCORES.ORG                 ####
22
####                                                              ####
23
#### This source file may be used and distributed without         ####
24
#### restriction provided that this copyright statement is not    ####
25
#### removed from the file and that any derivative work contains  ####
26
#### the original copyright notice and the associated disclaimer. ####
27
####                                                              ####
28
#### This source file is free software; you can redistribute it   ####
29
#### and/or modify it under the terms of the GNU Lesser General   ####
30
#### Public License as published by the Free Software Foundation; ####
31
#### either version 2.1 of the License, or (at your option) any   ####
32
#### later version.                                               ####
33
####                                                              ####
34
#### This source is distributed in the hope that it will be       ####
35
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
36
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
37
#### PURPOSE.  See the GNU Lesser General Public License for more ####
38
#### details.                                                     ####
39
####                                                              ####
40
#### You should have received a copy of the GNU Lesser General    ####
41
#### Public License along with this source; if not, download it   ####
42
#### from http://www.opencores.org/lgpl.shtml                     ####
43
####                                                              ####
44
######################################################################
45
 
46
# Usage:
47
#
48
#       make rtl-tests
49
#
50
#       Run the software tests in the RTL model of the ORPSoC being
51 55 julius
#       simulated with an event-driven simulator like Icarus. It's also
52
#       possible to use Modelsim's vsim and Cadence's Verilog simulators.
53 6 julius
#
54
#       make vlt-tests
55
#
56
#       Run all the software tests in the RTL model which has been
57
#       converted into a cycle-accurate SystemC model with Verilator.
58
#
59
#       make sim-tests
60
#
61
#       Run all the software tests in the architectural simulator
62
#
63 40 julius
#
64
# Debugging modes:
65
#
66
#       make rtl-debug
67
#
68
#       Enable a GDB stub integrated into the simulation via VPI. This will
69
#       start a simulation, then the GDB server, and allow the user to connect
70
#       using the OpenRISC GDB port. It should provide the same functionality
71
#       as GDB to a physical target, although a little slower.
72
#       It is provided here as an example of how to compile and run an OpenRISC
73
#       model at RTL level with support for debugging from GDB.
74
#       UART output from printf() is enabled by default. The model loads with
75
#       the dhrystone test running as default, but can be changed by defining
76
#       VPI_TEST_SW at the command line. Logging of the processor's execution
77
#       is also disabled by default to speed up simulation.
78
#
79 6 julius
 
80
# Simulation results:
81
#
82
# The results and output of the event-driven simulations are in the
83
# results path, in parallel to the simulation run and bin paths.
84
 
85
# Specific tests:
86
#
87
# To run an individual test, specify it in the variable TESTS when
88
# calling make, eg:
89
#
90
#        make rtl-tests TESTS="mmu-nocache mul-idcd-O2"
91
 
92
# UART printf:
93
#
94
# It is possible to enable printf to the console via the UART when
95
# running the event-driven simulators. To do this define UART_PRINTF=1
96
# when calling make. The SystemC cycle-acccurate model uses this by
97
# default.
98
# Also note when switching between runs with and without UART printf
99
# enabled, run a clean-sw so the library files are recompiled when
100
# the tests are run - this is not done automatically.
101
 
102
# VCDs:
103
#
104
# VCD (value change dumps, usable in a waveform viewer, such as gtkwave
105
# to inspect the internals of the system graphically) files can be
106
# generated by defining a variable VCD, eg.
107
#
108
#       make rtl-tests VCD=1
109
#
110
# and a dump file will be created in the simulation results directory,
111
# and named according to the test run which generated it. This is
112
# possible for both event-driven and cycle-accurate simulations.
113
# However the cycle-accurate
114
 
115
# NO_SIM_LOGGING:
116
#
117
# It is possible to speed up the event-driven simulation slightly by
118
# disabling log output of the processor's state to files by defining
119
# NO_SIM_LOGGING, eg:
120
#
121
#       make rtl-tests TESTS=except-icdc NO_SIM_LOGGING=1
122
#
123
 
124
# Cleaning:
125
# A simple "make clean" cleans everything - software and all temporary
126
# simulation files and directories. To clean just the software run:
127
#
128
#       make clean-sw
129
#
130
# and to clean just the temporary simulation files (including VCDs,
131
# results logs - everything under, and including, sim/results/, run
132
#
133
#       make clean-sim
134
#
135
 
136
# Note:
137
#
138
# The way each of the test loops is written is probably a bit overly complex
139
# but this is to save maintaining, and calling, multiple files.
140
#
141
 
142
# Model configuration:
143
#
144
# Currently, the ORPSoCv2, by default, contains an internal SRAM (configurable
145
# size - check the defparam in rtl/verilog/orpsoc_top.v), standard OR1200 (check
146
# the config in rtl/verilog/or1200_defines.v) and UART.
147
# Switches can be passed to enable certain parts of the design if testing with
148
# these is desired.
149
#
150
# SDRAM and controller
151
#
152
# To enable the use of SDRAM, define USE_SDRAM when calling the sim -this
153
# only has an effect in the event-driven simulators as the external SDRAM model
154
# is not availble in SystemC format. eg:
155
#
156
#       make rtl-tests USE_SDRAM=1
157
#
158
# This not only enables SDRAM but also enables the booting from external SPI
159
# interfaced flash memory. This causes significant increase in the time taken
160
# for simulation as the program to test is first loaded out of SPI flash memory
161
# and into SDRAM before it is executed. Although this more closely mimics the
162
# behaviour of the hardware, for simulation purposes it is purely time-consuming
163
# however it may be useful to track down any problems with this boot-loading
164 43 julius
# process. Therefore, becuase it enables SDRAM memory, it also enables the flash
165 6 julius
# memory model and SPI controller inside ORPSoC.
166
#
167
# Ethernet
168
#
169
# Ethernet is disabled by default. This is due to the fact that it is not
170
# supported in the verilator/systemC model. Also, there is currently no software
171
# which tests it in any meaningful way.
172
#
173
 
174
#
175
# Event-driven simulation compilation
176
#
177
# The way the event-driven simulations are compiled is simply using the
178
# configuration script file in this directory, currently called icarus.scr -
179
# however it is first processesed to replace the variables, beginning with $'s,
180
# with the appropriate paths. Instead of naming each file to be compiled, the
181
# paths to be searched for each module are instead defined ( -y paths), and
182
# only the toplevel testbench and library source files are explicitly named.
183
# This simplifies the script, and also requires that the name of each verilog
184
# source file is the same as the module it contains (a good convention
185
# regardless.) In addition to the script/command file, defines are passed to
186
# the compiler via the command line in the EVENT_SIM_FLAGS variable.
187
# Additionally, a source file, test_define.v, is created with  some defines
188
# that cannot be passed to the compiled reliably (there are differences between
189
# the way, for instance, icarus and ncverilog parse strings +define+'d on the
190
# command line). This file is then included at the appropriate places.
191
# It is probably not ideal that the entire design be re-compiled for each test,
192
# but currently the design is small enough so that this doesn't cause a
193
# significant overhead, unlike the cycle-accurate model compile time.
194
#
195
 
196
#
197
# SystemC cycle-accurate model compilation
198
#
199
# A new addition to ORPSoC v2 is the cycle-accurate model. The primary enabler
200 44 julius
# behind this is verilator, which processes the RTL source and generates a c++
201
# description of the system. This c++ description is then compiled, with a
202 6 julius
# SystemC wrapper. Finally a top-level SystemC testbench instantiates the
203 44 julius
# model, and other useful modules - in this case a reset generation, UART
204 6 julius
# decoder, and monitor module are included at the top level. These additional
205 44 julius
# modules and models are written in SystemC. Finally, everything is linked with
206
# the cycle-accurate ORPSoC model to create the simulation executable. This
207
# executable is the cycle-representation of the system.
208
#
209 49 julius
# Run the resulting executable with the -h switch for usage.
210 44 julius
#
211
# The compilation is all done with the GNU c++ compiler, g++.
212
#
213
# The compilation process is a little more complicated than the event-driven
214 6 julius
# simulator. It proceeds basically by generating the makefiles for compiling
215
# the design with verilator, running these makes which produces a library
216
# containing the cycle-accurate ORPSoC design, compiling the additional
217
# top-level, and testbench, systemC models into a library, and then linking it
218
# all together into the simulation executable.
219 44 julius
#
220 6 julius
# The major advantage of the cycle-accurate model is that it is quicker, in
221
# terms of simulated cycles/second, when compared with event-driven simulators.
222
# It is, of course, less accurate in that it cannot model propegation delays.
223
# However this is usually not an issue for simulating a design which is known
224
# to synthesize and run OK. It is very useful for running complex software,
225
# such as the linux kernel and real-time OS applications, which generally
226 44 julius
# result in long simulation times.
227
#
228 6 julius
# Currently the cycle-accurate model being used doesn't contain much more than
229
# the processor and a UART, however it's exepected in future this will be
230
# expanded on and more complex software test suites will be implemented to put
231
# the system through its paces.
232
#
233 44 julius
#
234 49 julius
#
235 6 julius
 
236 44 julius
# Name of the directory we're currently in
237 6 julius
CUR_DIR=$(shell pwd)
238
 
239
# The root path of the whole project
240
PROJECT_ROOT=$(CUR_DIR)/../..
241
 
242
# Tests is only defined if it wasn't already defined when make was called
243
# This is the default list of every test that is currently possible
244
TESTS ?= basic-nocache cbasic-nocache-O2 dhry-nocache-O2 except-nocache mmu-nocache mul-nocache-O2 syscall-nocache tick-nocache uart-nocache basic-icdc cbasic-icdc-O2 dhry-icdc-O2 except-icdc mmu-icdc mul-icdc-O2 syscall-icdc tick-icdc uart-icdc
245
 
246
# Paths to other important parts of this test suite
247
SIM_DIR=$(PROJECT_ROOT)/sim
248
SIM_RUN_DIR=$(SIM_DIR)/run
249
SIM_BIN_DIR=$(SIM_DIR)/bin
250
SIM_RESULTS_DIR=$(SIM_DIR)/results
251
SIM_VLT_DIR=$(SIM_DIR)/vlt
252
BENCH_DIR=$(PROJECT_ROOT)/bench
253
BACKEND_DIR=$(PROJECT_ROOT)/backend
254
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
255
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
256
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
257
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
258
RTL_VERILOG_DIR=$(PROJECT_ROOT)/rtl/verilog
259
SW_DIR=$(PROJECT_ROOT)/sw
260
 
261
ICARUS=iverilog
262
ICARUS_VVP=vvp
263 58 julius
VSIM_COMP=vlog
264
VSIM=vsim
265
NCVERILOG=ncverilog
266 6 julius
ICARUS_COMMAND_FILE=icarus.scr
267
VLT_COMMAND_FILE=verilator.scr
268
SIM_SUCCESS_MESSAGE=deaddead
269 55 julius
MGC_COMMAND_FILE=modelsim.scr
270 6 julius
 
271
ARCH_SIM_EXE=or32-elf-sim
272
ARCH_SIM_CFG_FILE=or1ksim-orpsocv2.cfg
273
 
274 57 julius
# Set V=1 when calling make to enable verbose output
275
# mainly for debugging purposes.
276
ifeq ($(V), 1)
277
Q=
278
else
279
Q=@
280
endif
281
 
282 6 julius
# If USE_SDRAM is defined we'll add it to the simulator's defines on the
283
# command line becuase it's used by many different modules and it's easier
284
# to do it this way than make them all include a file.
285
ifdef USE_SDRAM
286
EVENT_SIM_FLAGS += "-D USE_SDRAM=$(USE_SDRAM)"
287
endif
288
 
289 58 julius
# Enable ethernet if defined on the command line
290
ifdef USE_ETHERNET
291
EVENT_SIM_FLAGS += "-D USE_ETHERNET=$(USE_ETHERNET) -D USE_ETHERNET_IO=$(USE_ETHERNET)"
292
# Extra tests we do if ethernet is enabled
293
TESTS += eth-basic eth-int
294
endif
295
 
296
#Default simulator is Icarus Verilog
297
# Set SIMULATOR=vsim to use Modelsim
298
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog
299
SIMULATOR ?= $(ICARUS)
300
 
301
# Set the command file to use, simulator dependent
302
ifeq ($(SIMULATOR), $(ICARUS))
303 55 julius
# Icarus Verilog Simulator
304
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
305
endif
306 51 julius
 
307 58 julius
ifeq ($(SIMULATOR), $(VSIM))
308
# Modelsim has own command file (it's a little more stupid than Icarus & NC)
309
SIM_COMMANDFILE=$(MGC_COMMAND_FILE)
310
endif
311
 
312
ifeq ($(SIMULATOR), $(NCVERILOG))
313
# NCVerilog uses same command file as Icarus
314
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
315
endif
316
 
317 55 julius
GENERATED_COMMANDFILE=$(SIM_COMMANDFILE).generated
318 51 julius
 
319 55 julius
# When Modelsim is selected as simulator, we compile
320
# the ORPSoC system into one library called orpsoc and
321
# then simply re-compile the testbench and or1200_monitor
322
# whenever we run the simulation, so just that part is
323
# recompiled for every test, instead of the whole thing.
324
MGC_ORPSOC_LIB=orpsoc
325
MGC_ORPSOC_LIB_DIR=$(SIM_RUN_DIR)/$(MGC_ORPSOC_LIB)
326
 
327
# If VCD dump is desired, tell Modelsim not to optimise
328
# away everything.
329
ifeq ($(VCD), 1)
330
VOPT_ARGS=-voptargs="+acc=rnp"
331
endif
332
 
333
# Simulation compile and run commands, depending on your
334 58 julius
# simulator.
335
 
336
# Icarus Verilog
337
ifeq ($(SIMULATOR), $(ICARUS))
338
# Icarus Verilog Simulator compile and run commands
339
SIM_COMMANDCOMPILE=rm -f $(SIM_RUN_DIR)/a.out; $(ICARUS) -sorpsoc_testbench -c $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) $(EVENT_SIM_FLAGS)
340
# Icarus Verilog run command
341
SIM_COMMANDRUN=$(ICARUS_VVP) -l $(SIM_RESULTS_DIR)/$$TEST-vvp-out.log a.out
342
endif
343
 
344
# Modelsim
345
ifeq ($(SIMULATOR), $(VSIM))
346 55 julius
# Line to compile the orpsoc design into a modelsim library.
347
SIM_COMMANDCOMPILE=if [ ! -e work ]; then vlib work; vlib $(MGC_ORPSOC_LIB); vlog -work orpsoc -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); fi
348
# Final modelsim compile, done each time, pulling in or1200
349
# monitor and the new test_defines.v file:
350
VSIM_COMPILE_TB=vlog +incdir+. +incdir+$(BENCH_VERILOG_DIR) +incdir+$(RTL_VERILOG_DIR) +define+TEST_DEFINE_FILE $(BENCH_VERILOG_DIR)/or1200_monitor.v $(BENCH_VERILOG_DIR)/orpsoc_testbench.v
351
# Simulation run command:
352 58 julius
SIM_COMMANDRUN=$(VSIM_COMPILE_TB); $(VSIM) -c -quiet +nowarnTFMPC -L $(MGC_ORPSOC_LIB) $(VOPT_ARGS) -do "run -all; exit" orpsoc_testbench
353 55 julius
endif
354
 
355 58 julius
# NCVerilog
356
ifeq ($(SIMULATOR), $(NCVERILOG))
357
SIM_COMMANDCOMPILE=echo
358
SIM_COMMANDRUN=$(NCVERILOG) -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) -Q -l $(SIM_RESULTS_DIR)/$$TEST-$(NCVERILOG)-out.log $(EVENT_SIM_FLAGS)
359 6 julius
endif
360
 
361 58 julius
# Names of memory files used in simulation
362 6 julius
SIM_FLASH_MEM_FILE="flash.in"
363
FLASH_MEM_FILE_SUFFIX="-twobyte-sizefirst.hex"
364
SIM_SRAM_MEM_FILE="sram.vmem"
365
 
366
TESTS_PASSED=0
367
TESTS_PERFORMED=0;
368
 
369
################################################################################
370 58 julius
# Event-driven simulator build rules
371 6 julius
################################################################################
372
 
373 51 julius
$(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v:
374
        @cd $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl && perl fizzim.pl -encoding onehot -terse < wb_sdram_ctrl_fsm.fzm > wb_sdram_ctrl_fsm.v
375 6 julius
 
376 57 julius
.PHONY: prepare-rtl
377
prepare-rtl: $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
378 6 julius
 
379 55 julius
$(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE): $(SIM_BIN_DIR)/$(SIM_COMMANDFILE)
380 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(SIM_COMMANDFILE) > $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) \
381 55 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
382
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
383
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
384
                -e \\!^//.*\$$!d -e \\!^\$$!d ; \
385
        echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
386
        if [ ! -z $$VCD ]; \
387
                then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
388 58 julius
                if [ $(SIMULATOR) = $(NCVERILOG) ]; \
389
                        then echo "+access+r" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
390
                fi; \
391 55 julius
        fi; \
392
        if [ ! -z $$UART_PRINTF ]; \
393
                then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
394 58 julius
        fi; \
395
        if [ $(SIMULATOR) = $(NCVERILOG) ]; \
396
                then echo "+nocopyright" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
397
                echo "+nowarn+MACRDF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
398 55 julius
        fi
399 51 julius
 
400 6 julius
ifdef UART_PRINTF
401 44 julius
TEST_SW_MAKE_OPTS="UART_PRINTF=1"
402 6 julius
endif
403
 
404 57 julius
.PHONY: prepare-sw
405
prepare-sw:
406
        $(Q)$(MAKE) -C $(SW_DIR)/support all $(TEST_SW_MAKE_OPTS)
407
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
408 6 julius
 
409
# A rule with UART_PRINTF hard defined ... used by verilator make sw
410 57 julius
prepare-sw-uart-printf:
411
        $(Q)$(MAKE) -C $(SW_DIR)/support all UART_PRINTF=1 $(TEST_SW_MAKE_OPTS)
412
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
413 6 julius
 
414 57 julius
prepare-dirs:
415
        $(Q)if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
416 6 julius
 
417 55 julius
#
418
# Rough guide to how event driven simulation test loop works:
419
#
420
# 1. Compile software support programs.
421
# 2. Generate RTL compilation script file
422
# 3. For each test listed in $(TESTS), loop and
423
#       a) Compile software
424
#       b) Create appropriate image to be loaded into sim
425
#       c) Create a verilog file to be included by top level
426
#       d) Compile the RTL design
427
#       e) Run the RTL design in the chosen simulator
428
#       f) Check the output (files in ../results)
429
#
430
# Default setup is:
431
#       * Event-driven simulation with Icarus Verilog
432
#       * Internal SRAM memory, preloaded with application
433
#       * Ethernet disabled
434
#       * VCD generation disabled
435
#       * printf() via UART disabled
436
#       * Logging enabled
437
#
438
# Options:
439
#       SIMULATOR=vsim
440
#               Use Mentor Graphics Modelsim simulator
441 58 julius
#       SIMULATOR=ncverilog
442
#               Use Cadence's NC-Verilog
443 55 julius
#       USE_SDRAM=1
444
#               Enable use of SDRAM - changes boot sequence and takes
445
#               a lot longer due to application being loaded out of
446
#               external FLASH memory and into SDRAM before execution
447
#               from the SDRAM.
448
#       VCD=1
449
#               Enable VCD generation. These files are output to
450
#               ../results
451
#       USE_ETHERNET=1
452
#               Turns on ethernet core inclusion. There are currently
453
#               some tests, but not included by default. Check the sw
454
#               directory
455
#       UART_PRINTF=1
456
#               Make the software use the UART core to print out
457
#               printf() calls.
458
#       NO_SIM_LOGGING=1
459
#               Turn off generation of logging files in the ../results
460
#               directory.
461
#
462 57 julius
rtl-tests: $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) prepare-sw prepare-rtl prepare-dirs
463 6 julius
        @echo
464
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
465
        @echo
466 57 julius
        $(Q)for TEST in $(TESTS); do \
467 6 julius
                echo "################################################################################"; \
468
                echo; \
469
                echo "\t#### Current test: $$TEST ####"; echo; \
470
                echo "\t#### Compiling software ####"; echo; \
471
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
472
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS); \
473
                rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
474
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
475
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
476
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
477 55 julius
                echo "\`define TEST_NAME_STRING \"$$TEST\"" > $(SIM_RUN_DIR)/test_define.v; \
478
                echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v; \
479 6 julius
                if [ ! -z $$VCD ]; \
480 55 julius
                        then echo "\`define VCD" >> $(SIM_RUN_DIR)/test_define.v; \
481 6 julius
                fi; \
482
                if [ ! -z $$UART_PRINTF ]; \
483 55 julius
                        then echo "\`define UART_PRINTF" >> $(SIM_RUN_DIR)/test_define.v; \
484 6 julius
                fi; \
485 44 julius
                if echo $$TEST | grep -q -i ^eth; then \
486
                        echo "\`define ENABLE_ETH_STIM" >> $(SIM_RUN_DIR)/test_define.v; \
487
                        echo "\`define ETH_PHY_VERBOSE" >> $(SIM_RUN_DIR)/test_define.v; \
488
                fi; \
489 43 julius
                if [ -z $$NO_SIM_LOGGING ]; then \
490 6 julius
                        echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; \
491
                fi; \
492
                echo ; \
493
                echo "\t#### Compiling RTL ####"; \
494 55 julius
                $(SIM_COMMANDCOMPILE); \
495 6 julius
                echo; \
496
                echo "\t#### Beginning simulation ####"; \
497 55 julius
                time -p $(SIM_COMMANDRUN) ; \
498 6 julius
                if [ $$? -gt 0 ]; then exit $$?; fi; \
499
                TEST_RESULT=`cat $(SIM_RESULTS_DIR)/$$TEST-general.log | grep report | grep $(SIM_SUCCESS_MESSAGE) -c`; \
500
                echo; echo "\t####"; \
501
                if [ $$TEST_RESULT -gt 0 ]; then \
502
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
503
                else    echo "\t#### Test $$TEST FAILED ####";\
504
                fi; \
505
                echo "\t####"; echo; \
506
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
507
        done; \
508
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
509
 
510
################################################################################
511 40 julius
# RTL simulation in Icarus with GDB stub via VPI for debugging
512
################################################################################
513
# This compiles a version of the system which starts up the dhrystone nocache
514
# test, and launches the simulator with a VPI module that provides a GDB stub
515
# allowing the OpenRISC compatible GDB to connect and debug the system.
516
# The launched test can be changed by defining VPI_TEST_SW on the make line
517
VPI_DIR=$(BENCH_VERILOG_DIR)/vpi
518
VPI_C_DIR=$(VPI_DIR)/c
519
VPI_VERILOG_DIR=$(VPI_DIR)/verilog
520
VPI_LIB_NAME=jp_vpi
521
ICARUS_VPI_OPTS=-M$(VPI_C_DIR) -m$(VPI_LIB_NAME)
522
VPI_TEST_SW ?= dhry-nocache-O2
523
 
524 57 julius
prepare-vpi:
525 40 julius
## Build the VPI library
526
        $(MAKE) -C $(VPI_C_DIR) $(VPI_LIB_NAME)
527
 
528 49 julius
clean-vpi:
529 40 julius
        $(MAKE) -C $(VPI_C_DIR) clean
530
 
531 57 julius
rtl-debug: prepare-sw-uart-printf prepare-rtl prepare-vpi prepare-dirs
532 40 julius
## Prepare the software for the test
533
        @echo "\t#### Compiling software ####"; echo; \
534
        CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $(VPI_TEST_SW) | cut -d "-" -f 1`; \
535
        $(MAKE) -C $$CURRENT_TEST_SW_DIR $(VPI_TEST_SW) $(TEST_SW_MAKE_OPTS); \
536
        rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
537
        rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
538
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW)$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
539
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW).vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE)
540
## Generate the icarus script we'll compile with
541 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(ICARUS_COMMAND_FILE) > $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated \
542 40 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
543
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
544
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
545
                -e \\!^//.*\$$!d -e \\!^\$$!d
546
## Add a couple of extra defines to the icarus compile script
547 57 julius
        $(Q)echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
548 40 julius
## The define that enables the VPI debug module
549 57 julius
        $(Q)echo "+define+VPI_DEBUG_ENABLE" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
550
        $(Q)if [ ! -z $$VCD ];then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated;fi
551 40 julius
## Unless NO_UART_PRINTF=1 we use printf via the UART
552 57 julius
        $(Q)if [ -z $$NO_UART_PRINTF ];then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; fi
553
        $(Q)echo "\`define TEST_NAME_STRING \"$(VPI_TEST_SW)-vpi\"" > $(SIM_RUN_DIR)/test_define.v
554
        $(Q)echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v
555
        $(Q)if [ -z $$NO_SIM_LOGGING ]; then echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; fi
556 40 julius
        @echo
557
        @echo "\t#### Compiling RTL ####"
558 57 julius
        $(Q)rm -f $(SIM_RUN_DIR)/a.out
559
        $(Q)$(ICARUS) -sorpsoc_testbench -c $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated $(EVENT_SIM_FLAGS)
560 40 julius
        @echo
561
        @echo "\t#### Beginning simulation with VPI debug module enabled ####"; echo
562 57 julius
        $(Q)$(ICARUS_VVP) $(ICARUS_VPI_OPTS) -l $(SIM_RESULTS_DIR)/$(VPI_TEST_SW)-vvp-out.log a.out
563 40 julius
 
564
################################################################################
565 6 julius
# Verilator model build rules
566
################################################################################
567
 
568
 
569
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
570
 
571
 
572
# List of System C models - use this list to link the sources into the Verilator
573
# build directory
574 51 julius
SYSC_MODELS=OrpsocAccess MemoryLoad
575 6 julius
 
576 49 julius
ifdef VLT_DEBUG
577
VLT_DEBUG_COMPILE_FLAGS = -g
578
# Enabling the following generates a TON of debugging
579
# when running verilator. Not so helpful.
580
#VLT_DEBUG_OPTIONS = --debug --dump-tree
581
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
582 6 julius
endif
583
 
584 49 julius
# If set on the command line we build the cycle accurate model which will generate verilator-specific profiling information. This is useful for checking the efficiency of the model - not really useful for checking code or the function of the model.
585
ifdef VLT_ORPSOC_PROFILING
586 63 julius
VLT_CPPFLAGS +=-pg
587 49 julius
VLT_DEBUG_OPTIONS +=-profile-cfuncs
588
else
589 63 julius
VLT_CPPFLAGS +=-fprofile-use -Wcoverage-mismatch
590 53 julius
#VLT_CPPFLAGS=-Wall
591 49 julius
endif
592
 
593 63 julius
# Set VLT_IN_GDB=1 when making if going to run the cycle accurate model executable in GDB to check suspect behavior. This also removes optimisation.
594
ifdef VLT_IN_GDB
595
VLT_CPPFLAGS +=-g -O0
596
else
597
# The default optimisation flag applied to all of the cycle accurate model files
598
VLT_CPPFLAGS +=-O3
599
endif
600
 
601 49 julius
ifdef VLT_DO_PROFILING
602 63 julius
VLT_CPPFLAGS +=-ftest-coverage -fprofile-arcs -fprofile-generate
603 49 julius
endif
604
 
605
# VCD Enabled by default when building, enable it at runtime
606
#ifdef VCD
607
VLT_FLAGS +=-trace
608
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
609
#endif
610
 
611 6 julius
# Only need the trace target if we are tracing
612 49 julius
#ifneq (,$(findstring -trace, $(VLT_FLAGS)))
613 6 julius
VLT_TRACEOBJ = SpTraceVcdC
614 49 julius
#endif
615 6 julius
 
616
# This is the list of extra models we'll issue make commands for
617
# Included is the SystemPerl trace model
618
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
619
 
620 63 julius
prepare-vlt: prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
621 54 julius
        @echo;echo "\tCycle-accurate model compiled successfully"
622
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
623
        $(SIM_VLT_DIR)/Vorpsoc_top -h
624
        @echo;echo
625 6 julius
 
626
$(SIM_VLT_DIR)/Vorpsoc_top: $(SIM_VLT_DIR)/libVorpsoc_top.a $(SIM_VLT_DIR)/OrpsocMain.o
627
# Final linking of the simulation executable. Order of libraries here is important!
628
        @echo; echo "\tGenerating simulation executable"; echo
629 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o Vorpsoc_top -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) OrpsocMain.o -lVorpsoc_top -lmodules -lsystemc
630 6 julius
 
631 51 julius
# Now compile the top level systemC "testbench" module from the systemC source path
632
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
633 6 julius
        @echo; echo "\tCompiling top level SystemC testbench"; echo
634 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
635 6 julius
 
636 57 julius
$(SIM_VLT_DIR)/libVorpsoc_top.a: $(SIM_VLT_DIR)/Vorpsoc_top__ALL.a vlt-modules-compile $(SIM_VLT_DIR)/verilated.o
637 6 julius
# Now archive all of the libraries from verilator witht he other modules we might have
638
        @echo; echo "\tArchiving libraries into libVorpsoc_top.a"; echo
639 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
640 6 julius
        cp Vorpsoc_top__ALL.a libVorpsoc_top.a && \
641
        ar rcs libVorpsoc_top.a verilated.o; \
642
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
643
                ar rcs libVorpsoc_top.a $$SYSCMODEL.o; \
644
        done
645
 
646
$(SIM_VLT_DIR)/verilated.o:
647
        @echo; echo "\tCompiling verilated.o"; echo
648 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
649 49 julius
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
650
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
651
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
652 6 julius
        $(MAKE) -f Vorpsoc_top.mk verilated.o
653
 
654 57 julius
.PHONY: vlt-modules-compile
655
vlt-modules-compile:
656 6 julius
# Compile the module files
657
        @echo; echo "\tCompiling SystemC models"
658 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
659 6 julius
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
660
                echo;echo "\t$$SYSCMODEL"; echo; \
661 49 julius
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
662 51 julius
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
663 49 julius
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
664
                 $(MAKE) -f Vorpsoc_top.mk $$SYSCMODEL.o; \
665
        done
666 6 julius
 
667
$(SIM_VLT_DIR)/Vorpsoc_top__ALL.a: $(SIM_VLT_DIR)/Vorpsoc_top.mk
668
        @echo; echo "\tCompiling main design"; echo
669 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
670 49 julius
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
671
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
672 6 julius
        $(MAKE) -f Vorpsoc_top.mk Vorpsoc_top__ALL.a
673
 
674
$(SIM_VLT_DIR)/Vorpsoc_top.mk: $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated $(SIM_VLT_DIR)/libmodules.a
675
# Now call verilator to generate the .mk files
676
        @echo; echo "\tGenerating makefiles with Verilator"; echo
677
        cd $(SIM_VLT_DIR) && \
678 49 julius
        verilator -language 1364-2001 -Wno-lint --top-module orpsoc_top $(VLT_DEBUG_OPTIONS) -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(VLT_COMMAND_FILE).generated
679 6 julius
 
680
# SystemC modules library
681
$(SIM_VLT_DIR)/libmodules.a:
682
        @echo; echo "\tCompiling SystemC modules"; echo
683 57 julius
        $(Q)export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
684 49 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
685 6 julius
 
686
 
687 51 julius
ALL_VLOG=$(shell find $(RTL_VERILOG_DIR) -name "*.v")
688
 
689 6 julius
# Verilator command script
690 51 julius
# Generate the compile script to give Verilator - make it sensitive to the RTL
691
$(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated: $(ALL_VLOG)
692 6 julius
        @echo; echo "\tGenerating verilator compile script"; echo
693 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(VLT_COMMAND_FILE) > $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated \
694 6 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
695
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
696
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
697
                -e \\!^//.*\$$!d -e \\!^\$$!d;
698
 
699 63 julius
.PHONY: vlt-model-links
700
vlt-model-links:
701 6 julius
# Link all the required system C model files into the verilator work dir
702
        @echo; echo "\tLinking SystemC model source to verilator build path"; echo
703
        @if [ ! -d $(SIM_VLT_DIR) ]; then mkdir $(SIM_VLT_DIR); fi
704 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
705 6 julius
        for SYSCMODEL in $(SYSC_MODELS); do \
706
                if [ ! -e $$SYSCMODEL.cpp ]; then \
707
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp .; \
708
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h .; \
709
                fi; \
710
        done
711
 
712
 
713
################################################################################
714
# Verilator test loop
715
################################################################################
716
 
717
# Verilator defaults to internal memories
718 66 julius
vlt-tests: prepare-sw prepare-rtl prepare-dirs prepare-vlt
719 6 julius
        @echo
720
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
721
        @echo
722 57 julius
        $(Q)for TEST in $(TESTS); do \
723 6 julius
                echo "################################################################################"; \
724
                echo; \
725
                echo "\t#### Current test: $$TEST ####"; echo; \
726
                echo "\t#### Compiling software ####"; echo; \
727
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
728
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
729
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
730
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
731
                echo "\t#### Beginning simulation ####"; \
732
                time -p $(SIM_VLT_DIR)/Vorpsoc_top $$TEST; \
733
                if [ $$? -gt 0 ]; then exit $$?; fi; \
734
                TEST_RESULT=1; \
735
                echo; echo "\t####"; \
736
                if [ $$TEST_RESULT -gt 0 ]; then \
737
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
738
                else    echo "\t#### Test $$TEST FAILED ####";\
739
                fi; \
740
                echo "\t####"; echo; \
741
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
742
        done; \
743
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
744
 
745 49 julius
###############################################################################
746
# Verilator profiled module make
747
###############################################################################
748 57 julius
# To run this, first run a "make prepare-vlt VLT_DO_PROFILING=1" then do a
749
# "make clean" and then a "make prepare-vlt_profiled"
750 49 julius
# This new make target copies athe results of the profiling back to the right
751
# paths before we create everything again
752
###############################################################################
753 63 julius
.PHONY: prepare-vlt-profiled
754
prepare-vlt-profiled: $(SIM_VLT_DIR)/OrpsocMain.gcda clean vlt-restore-profileoutput prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
755 6 julius
 
756 63 julius
$(SIM_VLT_DIR)/OrpsocMain.gcda: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling prepare-sw-uart-printf
757
        $(MAKE) -C $(SW_DIR)/dhry dhry-nocache-O2 NUM_RUNS=200
758
        $(SIM_VLT_DIR)/Vorpsoc_top -f $(SW_DIR)/dhry/dhry-nocache-O2.or32 -v -l sim.log --crash-monitor
759
 
760
.PHONY: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling
761
$(SIM_VLT_DIR)/Vorpsoc_top-for-profiling:
762
        $(MAKE) prepare-vlt VLT_DO_PROFILING=1
763
 
764
.PHONY: vlt-restore-profileoutput
765 57 julius
vlt-restore-profileoutput:
766 49 julius
        @echo;echo "\tRestoring profiling outputs"; echo
767 57 julius
        $(Q)mkdir -p ../vlt
768
        $(Q)cp /tmp/*.gc* $(SIM_VLT_DIR)
769
        $(Q)cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
770 6 julius
 
771
################################################################################
772
# Architectural simulator test loop
773
################################################################################
774
 
775
# Verilator defaults to internal memories
776 66 julius
sim-tests: prepare-sw
777 6 julius
        @if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
778
        @echo
779
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
780
        @echo
781 57 julius
        $(Q)for TEST in $(TESTS); do \
782 6 julius
                echo "################################################################################"; \
783
                echo; \
784
                echo "\t#### Current test: $$TEST ####"; echo; \
785
                echo "\t#### Compiling software ####"; echo; \
786
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
787
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
788
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
789
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.or32 $(SIM_RUN_DIR)/.; \
790
                echo;echo "\t#### Launching architectural simulator ####"; \
791
                time -p $(ARCH_SIM_EXE) --nosrv -f $(SIM_BIN_DIR)/$(ARCH_SIM_CFG_FILE) $$TEST.or32 > $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log 2>&1; \
792
                if [ $$? -gt 0 ]; then exit $$?; fi; \
793
                if [ `tail -n 10 $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log | grep -c $(SIM_SUCCESS_MESSAGE)` -gt 0 ]; then \
794
                        TEST_RESULT=1; \
795
                fi; \
796
                echo; echo "\t####"; \
797
                if [ $$TEST_RESULT -gt 0 ]; then \
798
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
799
                else    echo "\t#### Test $$TEST FAILED ####";\
800
                fi; \
801
                echo "\t####"; echo; \
802
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
803
                unlink $(SIM_RUN_DIR)/$$TEST.or32; \
804
        done; \
805
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
806
 
807
 
808
 
809
################################################################################
810
# Cleaning rules
811
################################################################################
812
 
813 49 julius
clean: clean-sw clean-sim clean-sysc clean-rtl clean-vpi
814 6 julius
 
815
clean-sw:
816 44 julius
        @for SWDIR in `ls $(SW_DIR)`; do \
817
                echo $$SWDIR; \
818
                $(MAKE) -C $(SW_DIR)/$$SWDIR clean; \
819 6 julius
        done
820
 
821
clean-sim:
822 49 julius
#backup any profiling output files
823 51 julius
        @if [ -f $(SIM_VLT_DIR)/OrpsocMain.gcda ]; then echo;echo "\tBacking up verilator profiling output to /tmp"; echo; \
824 49 julius
        cp $(SIM_VLT_DIR)/*.gc* /tmp; \
825
        cp $(BENCH_SYSC_SRC_DIR)/*.gc* /tmp; fi
826 55 julius
        rm -rf $(SIM_RESULTS_DIR) $(SIM_RUN_DIR)/*.* $(SIM_VLT_DIR) $(MGC_ORPSOC_LIB_DIR) $(SIM_RUN_DIR)/work $(SIM_RUN_DIR)/transcript
827 36 julius
 
828
clean-sysc:
829
# Clean away dependency files generated by verilator
830 42 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make clean
831 36 julius
 
832
clean-rtl:
833
# Clean away temporary verilog source files
834 44 julius
        rm -f $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
835
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.