OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [java/] [capture_20190122_1517_21.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
`timescale 1ns / 10ps
2
 
3
module capture_20190122_1517_21(clk_of_verifla, la_trigger_matched, cnta, cntb, memory_line_id);
4
 
5
output clk_of_verifla;
6
output la_trigger_matched;
7
output [16:0] memory_line_id;
8
output [7:0] cnta;
9
output [7:0] cntb;
10
reg [7:0] cnta;
11
reg [7:0] cntb;
12
reg [16:0] memory_line_id;
13
reg la_trigger_matched;
14
reg clk_of_verifla;
15
 
16
parameter PERIOD = 20;
17
initial    // Clock process for clk_of_verifla
18
begin
19
    forever
20
    begin
21
        clk_of_verifla = 1'b0;
22
        #(10); clk_of_verifla = 1'b1;
23
        #(10);
24
    end
25
end
26
 
27
initial begin
28
#(10);
29
la_trigger_matched = 0;
30
memory_line_id=1;
31
{cntb,cnta} = 16'b0000000000000001;
32
#20;
33
// -------------  Current Time:  30*(1ns) 
34
memory_line_id=2;
35
{cntb,cnta} = 16'b0000000100000010;
36
#20;
37
// -------------  Current Time:  50*(1ns) 
38
memory_line_id=3;
39
{cntb,cnta} = 16'b0000000100000011;
40
#20;
41
// -------------  Current Time:  70*(1ns) 
42
memory_line_id=8;
43
{cntb,cnta} = 16'b0000001000000100;
44
la_trigger_matched = 1;
45
#20;
46
// -------------  Current Time:  90*(1ns) 
47
memory_line_id=9;
48
{cntb,cnta} = 16'b0000001000000101;
49
#20;
50
// -------------  Current Time:  110*(1ns) 
51
memory_line_id=10;
52
{cntb,cnta} = 16'b0000001100000110;
53
#20;
54
// -------------  Current Time:  130*(1ns) 
55
memory_line_id=11;
56
{cntb,cnta} = 16'b0000001100000111;
57
#20;
58
// -------------  Current Time:  150*(1ns) 
59
memory_line_id=12;
60
{cntb,cnta} = 16'b0000010000001000;
61
#20;
62
// -------------  Current Time:  170*(1ns) 
63
memory_line_id=13;
64
{cntb,cnta} = 16'b0000010000001001;
65
#20;
66
// -------------  Current Time:  190*(1ns) 
67
memory_line_id=14;
68
{cntb,cnta} = 16'b0000010100001010;
69
#20;
70
// -------------  Current Time:  210*(1ns) 
71
memory_line_id=15;
72
{cntb,cnta} = 16'b0000010100001011;
73
#20;
74
// -------------  Current Time:  230*(1ns) 
75
memory_line_id=16;
76
{cntb,cnta} = 16'b0000011000001100;
77
#20;
78
// -------------  Current Time:  250*(1ns) 
79
memory_line_id=17;
80
{cntb,cnta} = 16'b0000011000001101;
81
#20;
82
// -------------  Current Time:  270*(1ns) 
83
memory_line_id=18;
84
{cntb,cnta} = 16'b0000011100001110;
85
#20;
86
// -------------  Current Time:  290*(1ns) 
87
memory_line_id=19;
88
{cntb,cnta} = 16'b0000011100001111;
89
#20;
90
// -------------  Current Time:  310*(1ns) 
91
memory_line_id=20;
92
{cntb,cnta} = 16'b0000100000010000;
93
#20;
94
// -------------  Current Time:  330*(1ns) 
95
memory_line_id=21;
96
{cntb,cnta} = 16'b0000100000010001;
97
#20;
98
// -------------  Current Time:  350*(1ns) 
99
memory_line_id=22;
100
{cntb,cnta} = 16'b0000100100010010;
101
#20;
102
// -------------  Current Time:  370*(1ns) 
103
memory_line_id=23;
104
{cntb,cnta} = 16'b0000100100010011;
105
#20;
106
// -------------  Current Time:  390*(1ns) 
107
memory_line_id=24;
108
{cntb,cnta} = 16'b0000101000010100;
109
#20;
110
// -------------  Current Time:  410*(1ns) 
111
memory_line_id=25;
112
{cntb,cnta} = 16'b0000101000010101;
113
#20;
114
// -------------  Current Time:  430*(1ns) 
115
memory_line_id=26;
116
{cntb,cnta} = 16'b0000101100010110;
117
#20;
118
// -------------  Current Time:  450*(1ns) 
119
memory_line_id=27;
120
{cntb,cnta} = 16'b0000101100010111;
121
#20;
122
// -------------  Current Time:  470*(1ns) 
123
memory_line_id=28;
124
{cntb,cnta} = 16'b0000110000011000;
125
#20;
126
// -------------  Current Time:  490*(1ns) 
127
memory_line_id=29;
128
{cntb,cnta} = 16'b0000110000011001;
129
#20;
130
// -------------  Current Time:  510*(1ns) 
131
memory_line_id=30;
132
{cntb,cnta} = 16'b0000110100011010;
133
#20;
134
// -------------  Current Time:  530*(1ns) 
135
memory_line_id=31;
136
{cntb,cnta} = 16'b0000110100011011;
137
#20;
138
// -------------  Current Time:  550*(1ns) 
139
memory_line_id=32;
140
{cntb,cnta} = 16'b0000111000011100;
141
#20;
142
// -------------  Current Time:  570*(1ns) 
143
memory_line_id=33;
144
{cntb,cnta} = 16'b0000111000011101;
145
#20;
146
// -------------  Current Time:  590*(1ns) 
147
memory_line_id=34;
148
{cntb,cnta} = 16'b0000111100011110;
149
#20;
150
// -------------  Current Time:  610*(1ns) 
151
memory_line_id=35;
152
{cntb,cnta} = 16'b0000111100011111;
153
#20;
154
// -------------  Current Time:  630*(1ns) 
155
memory_line_id=36;
156
{cntb,cnta} = 16'b0001000000100000;
157
#20;
158
// -------------  Current Time:  650*(1ns) 
159
memory_line_id=37;
160
{cntb,cnta} = 16'b0001000000100001;
161
#20;
162
// -------------  Current Time:  670*(1ns) 
163
memory_line_id=38;
164
{cntb,cnta} = 16'b0001000100100010;
165
#20;
166
// -------------  Current Time:  690*(1ns) 
167
memory_line_id=39;
168
{cntb,cnta} = 16'b0001000100100011;
169
#20;
170
// -------------  Current Time:  710*(1ns) 
171
memory_line_id=40;
172
{cntb,cnta} = 16'b0001001000100100;
173
#20;
174
// -------------  Current Time:  730*(1ns) 
175
memory_line_id=41;
176
{cntb,cnta} = 16'b0001001000100101;
177
#20;
178
// -------------  Current Time:  750*(1ns) 
179
memory_line_id=42;
180
{cntb,cnta} = 16'b0001001100100110;
181
#20;
182
// -------------  Current Time:  770*(1ns) 
183
memory_line_id=43;
184
{cntb,cnta} = 16'b0001001100100111;
185
#20;
186
// -------------  Current Time:  790*(1ns) 
187
memory_line_id=44;
188
{cntb,cnta} = 16'b0001010000101000;
189
#20;
190
// -------------  Current Time:  810*(1ns) 
191
memory_line_id=45;
192
{cntb,cnta} = 16'b0001010000101001;
193
#20;
194
// -------------  Current Time:  830*(1ns) 
195
memory_line_id=46;
196
{cntb,cnta} = 16'b0001010100101010;
197
#20;
198
// -------------  Current Time:  850*(1ns) 
199
memory_line_id=47;
200
{cntb,cnta} = 16'b0001010100101011;
201
#20;
202
// -------------  Current Time:  870*(1ns) 
203
memory_line_id=48;
204
{cntb,cnta} = 16'b0001011000101100;
205
#20;
206
// -------------  Current Time:  890*(1ns) 
207
memory_line_id=49;
208
{cntb,cnta} = 16'b0001011000101101;
209
#20;
210
// -------------  Current Time:  910*(1ns) 
211
memory_line_id=50;
212
{cntb,cnta} = 16'b0001011100101110;
213
#20;
214
// -------------  Current Time:  930*(1ns) 
215
memory_line_id=51;
216
{cntb,cnta} = 16'b0001011100101111;
217
#20;
218
// -------------  Current Time:  950*(1ns) 
219
memory_line_id=52;
220
{cntb,cnta} = 16'b0001100000110000;
221
#20;
222
// -------------  Current Time:  970*(1ns) 
223
memory_line_id=53;
224
{cntb,cnta} = 16'b0001100000110001;
225
#20;
226
// -------------  Current Time:  990*(1ns) 
227
memory_line_id=54;
228
{cntb,cnta} = 16'b0001100100110010;
229
#20;
230
// -------------  Current Time:  1010*(1ns) 
231
memory_line_id=55;
232
{cntb,cnta} = 16'b0001100100110011;
233
#20;
234
// -------------  Current Time:  1030*(1ns) 
235
memory_line_id=56;
236
{cntb,cnta} = 16'b0001101000110100;
237
#20;
238
// -------------  Current Time:  1050*(1ns) 
239
memory_line_id=57;
240
{cntb,cnta} = 16'b0001101000110101;
241
#20;
242
// -------------  Current Time:  1070*(1ns) 
243
memory_line_id=58;
244
{cntb,cnta} = 16'b0001101100110110;
245
#20;
246
// -------------  Current Time:  1090*(1ns) 
247
memory_line_id=59;
248
{cntb,cnta} = 16'b0001101100110111;
249
#20;
250
// -------------  Current Time:  1110*(1ns) 
251
memory_line_id=60;
252
{cntb,cnta} = 16'b0001110000111000;
253
#20;
254
// -------------  Current Time:  1130*(1ns) 
255
memory_line_id=61;
256
{cntb,cnta} = 16'b0001110000111001;
257
#20;
258
// -------------  Current Time:  1150*(1ns) 
259
memory_line_id=62;
260
{cntb,cnta} = 16'b0001110100111010;
261
#20;
262
// -------------  Current Time:  1170*(1ns) 
263
$stop;
264
end
265
endmodule
266
/*
267
ORIGINAL CAPTURE DUMP
268
memory_line_id=0: 01 00 00
269
memory_line_id=1: 01 00 01
270
memory_line_id=2: 01 01 02
271
memory_line_id=3: 01 01 03
272
memory_line_id=4: 00 00 00
273
memory_line_id=5: 00 00 00
274
memory_line_id=6: 00 00 00
275
memory_line_id=7: 00 00 00
276
memory_line_id=8: 01 02 04
277
memory_line_id=9: 01 02 05
278
memory_line_id=10: 01 03 06
279
memory_line_id=11: 01 03 07
280
memory_line_id=12: 01 04 08
281
memory_line_id=13: 01 04 09
282
memory_line_id=14: 01 05 0A
283
memory_line_id=15: 01 05 0B
284
memory_line_id=16: 01 06 0C
285
memory_line_id=17: 01 06 0D
286
memory_line_id=18: 01 07 0E
287
memory_line_id=19: 01 07 0F
288
memory_line_id=20: 01 08 10
289
memory_line_id=21: 01 08 11
290
memory_line_id=22: 01 09 12
291
memory_line_id=23: 01 09 13
292
memory_line_id=24: 01 0A 14
293
memory_line_id=25: 01 0A 15
294
memory_line_id=26: 01 0B 16
295
memory_line_id=27: 01 0B 17
296
memory_line_id=28: 01 0C 18
297
memory_line_id=29: 01 0C 19
298
memory_line_id=30: 01 0D 1A
299
memory_line_id=31: 01 0D 1B
300
memory_line_id=32: 01 0E 1C
301
memory_line_id=33: 01 0E 1D
302
memory_line_id=34: 01 0F 1E
303
memory_line_id=35: 01 0F 1F
304
memory_line_id=36: 01 10 20
305
memory_line_id=37: 01 10 21
306
memory_line_id=38: 01 11 22
307
memory_line_id=39: 01 11 23
308
memory_line_id=40: 01 12 24
309
memory_line_id=41: 01 12 25
310
memory_line_id=42: 01 13 26
311
memory_line_id=43: 01 13 27
312
memory_line_id=44: 01 14 28
313
memory_line_id=45: 01 14 29
314
memory_line_id=46: 01 15 2A
315
memory_line_id=47: 01 15 2B
316
memory_line_id=48: 01 16 2C
317
memory_line_id=49: 01 16 2D
318
memory_line_id=50: 01 17 2E
319
memory_line_id=51: 01 17 2F
320
memory_line_id=52: 01 18 30
321
memory_line_id=53: 01 18 31
322
memory_line_id=54: 01 19 32
323
memory_line_id=55: 01 19 33
324
memory_line_id=56: 01 1A 34
325
memory_line_id=57: 01 1A 35
326
memory_line_id=58: 01 1B 36
327
memory_line_id=59: 01 1B 37
328
memory_line_id=60: 01 1C 38
329
memory_line_id=61: 01 1C 39
330
memory_line_id=62: 01 1D 3A
331
memory_line_id=63: 00 00 03
332
*/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.