OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [verilog/] [examples/] [test_counters.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   15:19:39 01/21/2019
8
// Design Name:   counters
9
// Module Name:   /home/laur/lucru/cn/openverifla/verilog_counter_verifla/test_counters.v
10
// Project Name:  verilog_xilinx_keyboard
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: counters
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module test_counters;
26
 
27
        // Inputs
28
        reg clk;
29
        reg reset;
30
        reg uart_REC_dataH;
31
 
32
        // Outputs
33
        wire [7:0] cntb;
34
        wire uart_XMIT_dataH;
35
 
36
        // Instantiate the Unit Under Test (UUT)
37
        counters uut (
38
                .cntb(cntb),
39
                .clk(clk),
40
                .reset(reset),
41
                .uart_XMIT_dataH(uart_XMIT_dataH),
42
                .uart_REC_dataH(uart_REC_dataH)
43
        );
44
 
45
        initial begin
46
                // Initialize Inputs
47
                reset = 1;
48
                uart_REC_dataH = 1;
49
 
50
                // Wait 100 ns for global reset to finish
51
                #100;
52
      reset = 0;
53
                // Add stimulus here
54
 
55
        end
56
 
57
        always begin
58
                clk = 0; #5;
59
                clk = 1; #5;
60
        end
61
endmodule
62
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.