OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [vhdl/] [verifla/] [common_internal_verifla.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
-- date: 20180821-1530
2
-- author: Laurentiu Duca
3
---------------------------------------------------------------------------
4
 
5
library IEEE;
6
use IEEE.STD_LOGIC_1164.ALL;
7
use IEEE.NUMERIC_STD.ALL;
8
--use ieee.std_logic_arith.all;  
9
--use ieee.std_logic_unsigned.all;
10
 
11
---------------------------------------------------------------------------
12
 
13
package common_internal_verifla is
14
 
15
-- Data input width and indentical samples bits must be multiple of 8.
16
constant LA_DATA_INPUT_WORDLEN_BITS: integer :=16;
17
 
18
-- Trigger
19
constant LA_TRIGGER_VALUE: std_logic_vector((LA_DATA_INPUT_WORDLEN_BITS-1) downto 0)
20
        :=x"0204";
21
constant LA_TRIGGER_MASK: std_logic_vector((LA_DATA_INPUT_WORDLEN_BITS-1) downto 0)
22
        :=x"ffff";
23
constant LA_TRACE_MASK: std_logic_vector((LA_DATA_INPUT_WORDLEN_BITS-1) downto 0)
24
        :=(others => '1');
25
 
26
constant LA_IDENTICAL_SAMPLES_BITS: integer :=8;
27
constant LA_MEM_WORDLEN_BITS: integer :=(LA_DATA_INPUT_WORDLEN_BITS+LA_IDENTICAL_SAMPLES_BITS);
28
constant LA_MEM_WORDLEN_OCTETS: integer :=((LA_MEM_WORDLEN_BITS+7)/8);
29
constant LA_MEM_ADDRESS_BITS: integer :=6;
30
constant LA_MEM_FIRST_ADDR: integer := 0;
31
constant LA_MEM_LAST_ADDR: integer := 2 ** LA_MEM_ADDRESS_BITS - 1;
32
 
33
constant LA_BT_QUEUE_TAIL_ADDRESS: integer :=LA_MEM_LAST_ADDR;
34
-- constraint: (LA_MEM_FIRST_ADDR + 4) <= LA_TRIGGER_MATCH_MEM_ADDR <= (LA_MEM_LAST_ADDR - 4)
35
constant LA_TRIGGER_MATCH_MEM_ADDR: integer := 8; --2 ** (LA_MEM_ADDRESS_BITS - 3);
36
constant LA_MEM_LAST_ADDR_BEFORE_TRIGGER: integer := LA_TRIGGER_MATCH_MEM_ADDR - 1;
37
constant LA_MAX_SAMPLES_AFTER_TRIGGER_BITS: integer :=26;
38
constant LA_MAX_SAMPLES_AFTER_TRIGGER: integer := 2 ** (LA_MAX_SAMPLES_AFTER_TRIGGER_BITS-1) - 1;
39
 
40
-- Identical samples
41
constant LA_MAX_IDENTICAL_SAMPLES: integer := 2 ** LA_IDENTICAL_SAMPLES_BITS - 2;
42
 
43
--Reserved mem words
44
-- LA_MEM_EMPTY_SLOT which represents an empty and not used memory slot.
45
constant LA_MEM_EMPTY_SLOT: std_logic_vector(LA_MEM_WORDLEN_BITS-1 downto 0)
46
        := (others => '0');
47
 
48
end common_internal_verifla;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.