OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [vhdl/] [verifla/] [uart_of_verifla.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
-- uart_of_verifla
2
-- date: 20180816_1740
3
-- author: Laurentiu Duca
4
-- license: GNU GPL
5
-----------------------------------------------------
6
 
7
library IEEE;
8
use IEEE.STD_LOGIC_1164.ALL;
9
use IEEE.NUMERIC_STD.ALL;
10
--use ieee.std_logic_arith.all;  
11
--use ieee.std_logic_unsigned.all;
12
 
13
-----------------------------------------------------
14
 
15
entity uart_of_verifla is
16
port(   sys_clk, sys_rst_l: in std_logic;
17
                baud_clk_posedge: out std_logic;
18
                uart_XMIT_dataH: out std_logic;
19
                xmitH: in std_logic;
20
                xmit_dataH: in std_logic_vector(7 downto 0);
21
                xmit_doneH: out std_logic;
22
                uart_REC_dataH: in std_logic;
23
                rec_dataH: out std_logic_vector(7 downto 0);
24
                rec_readyH: out std_logic
25
);
26
end uart_of_verifla;
27
 
28
-----------------------------------------------------
29
 
30
architecture uart_of_verifla_arch of uart_of_verifla is
31
 
32
        signal sys_rst: std_logic;
33
        signal baud_clk_posedge_wire: std_logic;
34
 
35
begin
36
        sys_rst <= not sys_rst_l;
37
        baud_clk_posedge <= baud_clk_posedge_wire;
38
 
39
        txd1: entity work.u_xmit_of_verifla(u_xmit_of_verifla_arch)
40
                port map(clk_i => sys_clk, rst_i =>sys_rst, baud_clk_posedge => baud_clk_posedge_wire,
41
                        data_i => xmit_dataH, wen_i => xmitH, txd_o => uart_XMIT_dataH, tre_o => xmit_doneH);
42
 
43
        rxd1: entity work.u_rec_of_verifla(u_rec_of_verifla_arch)
44
                port map(clk_i => sys_clk, rst_i => sys_rst, baud_clk_posedge => baud_clk_posedge_wire,
45
                        rxd_i => uart_REC_dataH, rdy_o => rec_readyH, data_o => rec_dataH);
46
 
47
        baud1: entity work.baud_of_verifla(baud_of_verifla_arch)
48
                port map(sys_clk => sys_clk, sys_rst_l => sys_rst_l, baud_clk_posedge => baud_clk_posedge_wire);
49
 
50
end uart_of_verifla_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.