OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [src/] [boot_vector_rom.v] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 qaztronic
// --------------------------------------------------------------------
2
//
3
// --------------------------------------------------------------------
4
 
5
 
6
module boot_vector_rom( data, addr, we, clk, q );
7
 
8
  parameter DATA_WIDTH = 1;
9
  parameter ADDR_WIDTH = 1;
10
  parameter MEM_INIT = 1;
11
 
12
  input [(DATA_WIDTH-1):0] data;
13
  input [(ADDR_WIDTH-1):0] addr;
14
  input we;
15
  input clk;
16
  output [(DATA_WIDTH-1):0] q;
17
 
18
  // Declare the RAM variable
19
  reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];
20
  reg [ADDR_WIDTH-1:0] addr_reg;
21
 
22
 
23
  always @ (posedge clk)
24
    begin
25
      // Write
26
      if (we) ram[addr] <= data;
27
      addr_reg <= addr;
28
    end
29
 
30
  // Read returns NEW data at addr if we == 1'b1. This is the
31
  // natural behavior of TriMatrix memory blocks in Single Port
32
  // mode
33
  assign q = ram[addr_reg];
34
 
35
        generate
36
                if( MEM_INIT != 0 )
37
                  initial
38
                    $readmemh( "../../../sw/load_this_to_ram/boot_vector_rom.txt", ram );
39
        endgenerate
40
 
41 21 qaztronic
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.