OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sw/] [tests/] [ecos_debug/] [boot_vector_rom.s] - Blame information for rev 25

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 qaztronic
 
2
 
3
.section .boot_vector_rom, "ax"
4
.org 0x0
5
 
6
_boot_reset:
7
 
8
  /* Jump to main */
9
  l.movhi r2,hi(reset_func)
10
  l.ori   r2,r2,lo(reset_func)
11
  l.jr    r2
12
  l.nop
13
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.