OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sw/] [tests/] [ecos_debug/] [or_soc.ld] - Blame information for rev 25

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 qaztronic
/* ------------------------------ */
2
/* link script */
3
/* ------------------------------ */
4
 
5
MEMORY
6
        {
7
        boot_vector_rom   : ORIGIN = 0x00000000, LENGTH = 0x00000010
8
        boot_rom_0        : ORIGIN = 0x04000000, LENGTH = 0x04000000
9
        boot_rom_1        : ORIGIN = 0x08000000, LENGTH = 0x04000000
10
        boot_rom_2        : ORIGIN = 0x0c000000, LENGTH = 0x04000000
11
        mem_bank_1        : ORIGIN = 0x10000000, LENGTH = 0x10000000
12
        mem_bank_2        : ORIGIN = 0x20000000, LENGTH = 0x10000000
13
        mem_bank_3        : ORIGIN = 0x30000000, LENGTH = 0x10000000
14
        }
15
 
16
SECTIONS
17
{
18
        .boot_vector_rom :
19
        {
20
        } > boot_vector_rom
21
 
22
        .text :
23
        {
24
        reset_func = .;
25
        *(.vectors)
26
        *(.text)
27
        } > boot_rom_0
28
 
29
        .rodata :
30
        {
31
        *(.rodata)
32
        *(.rodata.*)
33
        . = ALIGN(4);
34
        } > boot_rom_0
35
 
36
        .data :
37
        {
38
        *(.data)
39
        } > mem_bank_3
40
 
41
        .bss :
42
        {
43
        *(.bss)
44
        } > mem_bank_3
45
 
46
        .stack (NOLOAD) :
47
        {
48
        *(.stack)
49
        } > mem_bank_3
50
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.