OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [color_bench.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
`include "../../../rtl/verilog/gfx/gfx_color.v"
2
 
3
module color_bench();
4
 
5
reg clk_i;
6
 
7
reg [1:0] color_depth_i;
8
 
9
reg  [31:0] color_i;
10
reg  [1:0]  x_lsb_i;
11
wire [31:0] mem_o;
12
wire [3:0]  mem_sel_o;
13
 
14
reg  [31:0] mem_i;
15
wire [31:0] color_o;
16
wire [3:0]  col_sel_o;
17
 
18
initial begin
19
  $dumpfile("color.vcd");
20
  $dumpvars(0,color_bench);
21
 
22
// init values
23
  clk_i = 0;
24
  color_depth_i = 0;
25
  color_i = 0;
26
  x_lsb_i = 0;
27
  mem_i = 0;
28
 
29
// 8 bit tests
30
  #10 color_i = 32'h12345678;
31
  mem_i = 32'habcd1234;
32
  #10 x_lsb_i = 1;
33
  #10 x_lsb_i = 2;
34
  #10 x_lsb_i = 3;
35
 
36
 
37
 
38
// 16 bit tests
39
  #10 color_depth_i = 1;
40
  x_lsb_i = 0;
41
  #10 x_lsb_i = 1;
42
  #10 x_lsb_i = 2;
43
  #10 x_lsb_i = 3;
44
 
45
// 24 bit tests (not supported!)
46
  #10 color_depth_i = 2;
47
  x_lsb_i = 0;
48
  #10 x_lsb_i = 1;
49
  #10 x_lsb_i = 2;
50
  #10 x_lsb_i = 3;
51
 
52
// 32 bit tests
53
  #10 color_depth_i = 3;
54
  x_lsb_i = 0;
55
  #10 x_lsb_i = 1;
56
  #10 x_lsb_i = 2;
57
  #10 x_lsb_i = 3;
58
 
59
// end sim
60
  #100 $finish;
61
end
62
 
63
always begin
64
  #1 clk_i = ~clk_i;
65
end
66
 
67
color_to_memory color_proc(
68
.color_depth_i (color_depth_i),
69
.color_i (color_i),
70
.x_lsb_i (x_lsb_i),
71
.mem_o (mem_o),
72
.sel_o (mem_sel_o)
73
);
74
 
75
memory_to_color memory_proc(
76
.color_depth_i (color_depth_i),
77
.mem_i (mem_i),
78
.mem_lsb_i (x_lsb_i),
79
.color_o (color_o),
80
.sel_o (col_sel_o)
81
);
82
 
83
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.