OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [div.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[*]
2
[*] GTKWave Analyzer v3.3.34 (w)1999-2012 BSI
3
[*] Mon May  7 08:16:34 2012
4
[*]
5
[dumpfile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/div.vcd"
6
[dumpfile_mtime] "Mon May  7 08:15:13 2012"
7
[dumpfile_size] 14327
8
[savefile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/div.sav"
9
[timestart] 0
10
[size] 1366 744
11
[pos] -2 -29
12
*-13.000000 1720 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] div_bench.
14
[sst_width] 223
15
[signals_width] 150
16
[sst_expanded] 1
17
[sst_vpaned_height] 211
18
@28
19
div_bench.clk_i
20
div_bench.enable
21
@200
22
-Input
23
@24
24
div_bench.divident[31:0]
25
div_bench.divisor[15:0]
26
@200
27
-Output
28
@25
29
div_bench.quotient[15:0]
30
@24
31
div_bench.remainder[15:0]
32
@28
33
div_bench.overflow
34
div_bench.div0
35
[pattern_trace] 1
36
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.