OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [wbm_w_bench.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
`include "../../../rtl/verilog/gfx/gfx_wbm_write.v"
2
`include "../../../rtl/verilog/gfx/basic_fifo.v"
3
 
4
module wbm_w_bench();
5
// wishbone signals
6
reg         clk_i;    // master clock reg
7
reg         rst_i;    // synchronous active high reset
8
wire        cyc_o;    // cycle wire
9
wire        stb_o;    // strobe output
10
wire [ 2:0] cti_o;    // cycle type id
11
wire [ 1:0] bte_o;    // burst type extension
12
wire        we_o;     // write enable wire
13
wire [31:0] adr_o;    // address wire
14
wire [ 3:0] sel_o;    // byte select wires (only 32bits accesses are supported)
15
reg         ack_i;    // wishbone cycle acknowledge
16
reg         err_i;    // wishbone cycle error
17
wire [31:0] dat_o;    // wishbone data out
18
 
19
wire        sint_o;     // non recoverable error, interrupt host
20
 
21
// Renderer stuff
22
reg write_i;
23
wire ack_o;
24
 
25
reg [31:2] render_addr_i;
26
reg [3:0]  render_sel_i;
27
reg [31:0] render_dat_i;
28
 
29
initial begin
30
  $dumpfile("wbm_w.vcd");
31
  $dumpvars(0,wbm_w_bench);
32
 
33
// init values
34
  clk_i = 0;
35
  rst_i = 1;
36
  err_i = 0;
37
  write_i = 0;
38
  render_addr_i = 0;
39
  render_sel_i = 4'b1111;
40
  render_dat_i = 32'h12345678;
41
 
42
  #2 rst_i = 0;
43
//timing
44
  # 10 write_i = 1;
45
  # 2 write_i = 0;
46
  # 4 write_i = 1;
47
  # 2 write_i = 0;
48
 
49
// end sim
50
  #100 $finish;
51
end
52
 
53
always @(posedge clk_i)
54
begin
55
  ack_i <= #1 cyc_o & !ack_i;
56
end
57
 
58
 
59
always begin
60
  #1 clk_i = ~clk_i;
61
end
62
 
63
gfx_wbm_write wbm_w(
64
// WB signals
65
.clk_i (clk_i),
66
.rst_i (rst_i),
67
.cyc_o (cyc_o),
68
.stb_o (stb_o),
69
.cti_o (cti_o),
70
.bte_o (bte_o),
71
.we_o (we_o),
72
.adr_o (adr_o),
73
.sel_o (sel_o),
74
.ack_i (ack_i),
75
.err_i (err_i),
76
.dat_o (dat_o),
77
.sint_o (sint_o),
78
// Control signals
79
.write_i (write_i),
80
.ack_o (ack_o),
81
.render_addr_i (render_addr_i),
82
.render_sel_i (render_sel_i),
83
.render_dat_i (render_dat_i)
84
);
85
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.