OpenCores
URL https://opencores.org/ocsvn/pavr/pavr/trunk

Subversion Repositories pavr

[/] [pavr/] [trunk/] [src/] [pavr_data_mem.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 doru
-- <File header>
2
-- Project
3
--    pAVR (pipelined AVR) is an 8 bit RISC controller, compatible with Atmel's
4
--    AVR core, but about 3x faster in terms of both clock frequency and MIPS.
5
--    The increase in speed comes from a relatively deep pipeline. The original
6
--    AVR core has only two pipeline stages (fetch and execute), while pAVR has
7
--    6 pipeline stages:
8
--       1. PM    (read Program Memory)
9
--       2. INSTR (load Instruction)
10
--       3. RFRD  (decode Instruction and read Register File)
11
--       4. OPS   (load Operands)
12
--       5. ALU   (execute ALU opcode or access Unified Memory)
13
--       6. RFWR  (write Register File)
14
-- Version
15
--    0.32
16
-- Date
17
--    2002 August 07
18
-- Author
19
--    Doru Cuturela, doruu@yahoo.com
20
-- License
21
--    This program is free software; you can redistribute it and/or modify
22
--    it under the terms of the GNU General Public License as published by
23
--    the Free Software Foundation; either version 2 of the License, or
24
--    (at your option) any later version.
25
--    This program is distributed in the hope that it will be useful,
26
--    but WITHOUT ANY WARRANTY; without even the implied warranty of
27
--    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
28
--    GNU General Public License for more details.
29
--    You should have received a copy of the GNU General Public License
30
--    along with this program; if not, write to the Free Software
31
--    Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
32
-- </File header>
33
 
34
 
35
 
36
-- <File info>
37
-- This is the Data Memory.
38
-- DM is a single port RAM, accessible for read and write.
39
-- </File info>
40
 
41
 
42
 
43
-- <File body>
44
library work;
45
use work.std_util.all;
46
use work.pavr_util.all;
47
use work.pavr_constants.all;
48
library ieee;
49
use ieee.std_logic_1164.all;
50
 
51
 
52
 
53
entity pavr_dm is
54
   port(
55
      pavr_dm_clk:  in  std_logic;
56
      pavr_dm_wr:   in  std_logic;
57
      pavr_dm_addr: in  std_logic_vector(pavr_dm_addr_w - 1 downto 0);
58
      pavr_dm_di:   in  std_logic_vector(7 downto 0);
59
      pavr_dm_do:   out std_logic_vector(7 downto 0)
60
   );
61
end;
62
 
63
 
64
 
65
architecture pavr_dm_arch of pavr_dm is
66
   type tdata_array is array (0 to pavr_dm_len - 1) of std_logic_vector(7 downto 0);
67
   signal data_array: tdata_array;
68
begin
69
   process
70
   begin
71
      wait until pavr_dm_clk'event and pavr_dm_clk='1';
72
      if (pavr_dm_wr = '0') then
73
         pavr_dm_do <= data_array(std_logic_vector_to_nat(pavr_dm_addr));
74
      else
75
         data_array(std_logic_vector_to_nat(pavr_dm_addr)) <= pavr_dm_di;
76
      end if;
77
   end process;
78
end;
79
-- </File body>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.