OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [testbench/] [log_example/] [console_test_read_reg.log] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 dsmv
asim -ieee_nowarn -O5 -g test_id=3 +access +r +m+test_read_reg stend_sp605_wishbone stend_sp605_wishbone
2
# ELBREAD: Elaboration process.
3 53 dsmv
# ELBREAD: Elaboration time 1.0 [s].
4 40 dsmv
# asim: Stack memory: 32MB
5
# asim: Retval memory: 32MB
6
# KERNEL: Main thread initiated.
7
# KERNEL: Kernel process initialization phase.
8
# KERNEL: Time resolution set to 10fs.
9
# ELAB2: Elaboration final pass...
10
# ELAB2: Create instances ...
11
# ELAB2: Create instances complete.
12
# SLP: Started
13
# SLP: Elaboration phase ...
14 53 dsmv
# SLP: Elaboration phase ... done : 17.5 [s]
15 40 dsmv
# SLP: Generation phase ...
16 53 dsmv
# SLP: Generation phase ... done : 20.2 [s]
17
# SLP: Finished : 37.7 [s]
18
# SLP: 108406 (60.64%) primitives and 64707 (36.20%) other processes in SLP
19
# SLP: 285582 (94.99%) signals in SLP and 15068 (5.01%) interface signals
20
# ELAB2: Elaboration final pass complete - time: 45.5 [s].
21
# KERNEL: SLP loading done - time: 0.3 [s].
22
# KERNEL: SLP simulation initialization done - time: 0.3 [s].
23 40 dsmv
# KERNEL: Kernel process initialization done.
24 53 dsmv
# Allocation: Simulator allocated 132813 kB (elbread=35344 elab2=80835 kernel=16633 sdf=0)
25
#  3:29, 7 марта 2016 г.
26 40 dsmv
#  Simulation has been initialized
27
#  Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
28
run {300 us}
29
# KERNEL: [ 1000 ns ] : Init start
30
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
31
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
32
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
33
# KERNEL:             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
34
# KERNEL:             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
35
# KERNEL:             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
36
# KERNEL:             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
37
# KERNEL:             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
38
# KERNEL:             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
39
# KERNEL:             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
40
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
41
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
42
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
43
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
44
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
45
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
46
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
47
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
48
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
49
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
50
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
51
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
52
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
53
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
54
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
55
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
56
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
57
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
58
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
59
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
60
# KERNEL: [ 107635.146 ns ] : Reading Config space
61
# KERNEL:   Addr: [0x001]
62
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100000]
63
# KERNEL: [ 107795.146 ns ] : Writing Config space
64
# KERNEL:   Addr: [0x001] -> Data [0x00000007]
65
# KERNEL: [ 107955.146 ns ] : Reading Config space
66
# KERNEL:   Addr: [0x001]
67
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100007]
68
# KERNEL: [ 113083.146 ns ] : Init complete
69
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
70 53 dsmv
# KERNEL: [ 180195.146 ns ] : PROC_PARSE_FRAME on Transmit
71 40 dsmv
# KERNEL: [ 187507.146 ns ] : PROC_PARSE_FRAME on Receive
72
# KERNEL: [ 187619.146 ns ] : PROC_PARSE_FRAME on Transmit
73
# KERNEL: [ 195763.146 ns ] : PROC_PARSE_FRAME on Receive
74
# KERNEL: [ 195875.146 ns ] : PROC_PARSE_FRAME on Transmit
75 53 dsmv
# KERNEL: [ 196035.146 ns ] : PROC_PARSE_FRAME on Transmit
76
# KERNEL: [ 196195.146 ns ] : PROC_PARSE_FRAME on Transmit
77 40 dsmv
# KERNEL: [ 204019.146 ns ] : PROC_PARSE_FRAME on Receive
78
# KERNEL: [ 204131.146 ns ] : PROC_PARSE_FRAME on Transmit
79
# KERNEL: [ 212243.146 ns ] : PROC_PARSE_FRAME on Receive
80
# KERNEL: TEST finished successfully
81
# KERNEL: stopped at time: 300 us
82
endsim
83
#  Simulation has been stopped

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.