OpenCores
URL https://opencores.org/ocsvn/pcie_mini/pcie_mini/trunk

Subversion Repositories pcie_mini

[/] [pcie_mini/] [trunk/] [example_design/] [blk_mem_gen_v4_1.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 buenos
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file blk_mem_gen_v4_1.vhd when simulating
30
-- the core, blk_mem_gen_v4_1. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY blk_mem_gen_v4_1 IS
44
        port (
45
        clka: IN std_logic;
46
        wea: IN std_logic_VECTOR(0 downto 0);
47
        addra: IN std_logic_VECTOR(8 downto 0);
48
        dina: IN std_logic_VECTOR(31 downto 0);
49
        clkb: IN std_logic;
50
        addrb: IN std_logic_VECTOR(8 downto 0);
51
        doutb: OUT std_logic_VECTOR(31 downto 0));
52
END blk_mem_gen_v4_1;
53
 
54
ARCHITECTURE blk_mem_gen_v4_1_a OF blk_mem_gen_v4_1 IS
55
-- synthesis translate_off
56
component wrapped_blk_mem_gen_v4_1
57
        port (
58
        clka: IN std_logic;
59
        wea: IN std_logic_VECTOR(0 downto 0);
60
        addra: IN std_logic_VECTOR(8 downto 0);
61
        dina: IN std_logic_VECTOR(31 downto 0);
62
        clkb: IN std_logic;
63
        addrb: IN std_logic_VECTOR(8 downto 0);
64
        doutb: OUT std_logic_VECTOR(31 downto 0));
65
end component;
66
 
67
-- Configuration specification 
68
        for all : wrapped_blk_mem_gen_v4_1 use entity XilinxCoreLib.blk_mem_gen_v4_1(behavioral)
69
                generic map(
70
                        c_has_regceb => 0,
71
                        c_has_regcea => 0,
72
                        c_mem_type => 1,
73
                        c_rstram_b => 0,
74
                        c_rstram_a => 0,
75
                        c_has_injecterr => 0,
76
                        c_rst_type => "SYNC",
77
                        c_prim_type => 1,
78
                        c_read_width_b => 32,
79
                        c_initb_val => "0",
80
                        c_family => "spartan6",
81
                        c_read_width_a => 32,
82
                        c_disable_warn_bhv_coll => 0,
83
                        c_use_softecc => 0,
84
                        c_write_mode_b => "READ_FIRST",
85
                        c_init_file_name => "no_coe_file_loaded",
86
                        c_write_mode_a => "READ_FIRST",
87
                        c_mux_pipeline_stages => 0,
88
                        c_has_softecc_output_regs_b => 0,
89
                        c_has_softecc_output_regs_a => 0,
90
                        c_has_mem_output_regs_b => 0,
91
                        c_has_mem_output_regs_a => 0,
92
                        c_load_init_file => 0,
93
                        c_xdevicefamily => "spartan6",
94
                        c_write_depth_b => 512,
95
                        c_write_depth_a => 512,
96
                        c_has_rstb => 0,
97
                        c_has_rsta => 0,
98
                        c_has_mux_output_regs_b => 0,
99
                        c_inita_val => "0",
100
                        c_has_mux_output_regs_a => 0,
101
                        c_addra_width => 9,
102
                        c_has_softecc_input_regs_b => 0,
103
                        c_has_softecc_input_regs_a => 0,
104
                        c_addrb_width => 9,
105
                        c_default_data => "0",
106
                        c_use_ecc => 0,
107
                        c_algorithm => 1,
108
                        c_disable_warn_bhv_range => 0,
109
                        c_write_width_b => 32,
110
                        c_write_width_a => 32,
111
                        c_read_depth_b => 512,
112
                        c_read_depth_a => 512,
113
                        c_byte_size => 9,
114
                        c_sim_collision_check => "ALL",
115
                        c_common_clk => 1,
116
                        c_wea_width => 1,
117
                        c_has_enb => 0,
118
                        c_web_width => 1,
119
                        c_has_ena => 0,
120
                        c_use_byte_web => 0,
121
                        c_use_byte_wea => 0,
122
                        c_rst_priority_b => "CE",
123
                        c_rst_priority_a => "CE",
124
                        c_use_default_data => 0);
125
-- synthesis translate_on
126
BEGIN
127
-- synthesis translate_off
128
U0 : wrapped_blk_mem_gen_v4_1
129
                port map (
130
                        clka => clka,
131
                        wea => wea,
132
                        addra => addra,
133
                        dina => dina,
134
                        clkb => clkb,
135
                        addrb => addrb,
136
                        doutb => doutb);
137
-- synthesis translate_on
138
 
139
END blk_mem_gen_v4_1_a;
140
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.