OpenCores
URL https://opencores.org/ocsvn/pcie_mini/pcie_mini/trunk

Subversion Repositories pcie_mini

[/] [pcie_mini/] [trunk/] [example_design/] [gtpa1_dual_wrapper.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 buenos
-------------------------------------------------------------------------------
2
--   ____  ____
3
--  /   /\/   /
4
-- /___/  \  /    Vendor: Xilinx 
5
-- \   \   \/     Version : 1.3
6
--  \   \         Application : Spartan-6 FPGA GTP Transceiver Wizard
7
--  /   /         Filename : gtpa1_dual_wrapper.vhd
8
-- /___/   /\     Timestamp :
9
-- \   \  /  \ 
10
--  \___\/\___\
11
--
12
--
13
-- Module GTPA1_DUAL_WRAPPER (a GTP Wrapper)
14
-- Generated by Xilinx Spartan-6 FPGA GTP Transceiver Wizard
15
-- 
16
-- 
17
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
18
-- 
19
-- This file contains confidential and proprietary information
20
-- of Xilinx, Inc. and is protected under U.S. and
21
-- international copyright and other intellectual property
22
-- laws.
23
-- 
24
-- DISCLAIMER
25
-- This disclaimer is not a license and does not grant any
26
-- rights to the materials distributed herewith. Except as
27
-- otherwise provided in a valid license issued to you by
28
-- Xilinx, and to the maximum extent permitted by applicable
29
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
30
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
31
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
32
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
33
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
34
-- (2) Xilinx shall not be liable (whether in contract or tort,
35
-- including negligence, or under any other theory of,
36
-- liability) for any loss or damage of any kind or nature
37
-- related to, arising under or in connection with these
38
-- materials, including for any direct, or any indirect,
39
-- special, incidental, or consequential loss or damage
40
-- (including loss of data, profits, goodwill, or any type of
41
-- loss or damage suffered as a result of any action brought
42
-- by a third party) even if such damage or loss was
43
-- reasonably foreseeable or Xilinx had been advised of the
44
-- possibility of the same.
45
-- 
46
-- CRITICAL APPLICATIONS
47
-- Xilinx products are not designed or intended to be fail-
48
-- safe, or for use in any application requiring fail-safe
49
-- performance, such as life-support or safety devices or
50
-- systems, Class III medical devices, nuclear facilities,
51
-- applications related to the deployment of airbags, or any
52
-- other applications that could lead to death, personal
53
-- injury, or severe property or environmental damage
54
-- (individually and collectively, "Critical
55
-- Applications"). Customer assumes the sole risk and
56
-- liability of any use of Xilinx products in Critical
57
-- Applications, subject only to applicable laws and
58
-- regulations governing limitations on product liability.
59
-- 
60
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
61
-- PART OF THIS FILE AT ALL TIMES. 
62
 
63
 
64
library ieee;
65
use ieee.std_logic_1164.all;
66
use ieee.numeric_std.all;
67
library UNISIM;
68
use UNISIM.VCOMPONENTS.ALL;
69
 
70
 
71
--***************************** Entity Declaration ****************************
72
 
73
entity GTPA1_DUAL_WRAPPER is
74
generic
75
(
76
    -- Simulation attributes
77
    WRAPPER_SIM_GTPRESET_SPEEDUP    : integer   := 0; -- Set to 1 to speed up sim reset
78
    WRAPPER_SIMULATION              : integer   := 0  -- Set to 1 for simulation    
79
);
80
port
81
(
82
 
83
    --_________________________________________________________________________
84
    --_________________________________________________________________________
85
    --TILE0  (X0_Y0)
86
 
87
    ------------------------ Loopback and Powerdown Ports ----------------------
88
    TILE0_RXPOWERDOWN0_IN                   : in   std_logic_vector(1 downto 0);
89
    TILE0_RXPOWERDOWN1_IN                   : in   std_logic_vector(1 downto 0);
90
    TILE0_TXPOWERDOWN0_IN                   : in   std_logic_vector(1 downto 0);
91
    TILE0_TXPOWERDOWN1_IN                   : in   std_logic_vector(1 downto 0);
92
    --------------------------------- PLL Ports --------------------------------
93
    TILE0_CLK00_IN                          : in   std_logic;
94
    TILE0_CLK01_IN                          : in   std_logic;
95
    TILE0_GTPRESET0_IN                      : in   std_logic;
96
    TILE0_GTPRESET1_IN                      : in   std_logic;
97
    TILE0_PLLLKDET0_OUT                     : out  std_logic;
98
    TILE0_PLLLKDET1_OUT                     : out  std_logic;
99
    TILE0_RESETDONE0_OUT                    : out  std_logic;
100
    TILE0_RESETDONE1_OUT                    : out  std_logic;
101
    ----------------------- Receive Ports - 8b10b Decoder ----------------------
102
    TILE0_RXCHARISK0_OUT                    : out  std_logic_vector(1 downto 0);
103
    TILE0_RXCHARISK1_OUT                    : out  std_logic_vector(1 downto 0);
104
    TILE0_RXDISPERR0_OUT                    : out  std_logic_vector(1 downto 0);
105
    TILE0_RXDISPERR1_OUT                    : out  std_logic_vector(1 downto 0);
106
    TILE0_RXNOTINTABLE0_OUT                 : out  std_logic_vector(1 downto 0);
107
    TILE0_RXNOTINTABLE1_OUT                 : out  std_logic_vector(1 downto 0);
108
    ---------------------- Receive Ports - Clock Correction --------------------
109
    TILE0_RXCLKCORCNT0_OUT                  : out  std_logic_vector(2 downto 0);
110
    TILE0_RXCLKCORCNT1_OUT                  : out  std_logic_vector(2 downto 0);
111
    --------------- Receive Ports - Comma Detection and Alignment --------------
112
    TILE0_RXENMCOMMAALIGN0_IN               : in   std_logic;
113
    TILE0_RXENMCOMMAALIGN1_IN               : in   std_logic;
114
    TILE0_RXENPCOMMAALIGN0_IN               : in   std_logic;
115
    TILE0_RXENPCOMMAALIGN1_IN               : in   std_logic;
116
    ------------------- Receive Ports - RX Data Path interface -----------------
117
    TILE0_RXDATA0_OUT                       : out  std_logic_vector(15 downto 0);
118
    TILE0_RXDATA1_OUT                       : out  std_logic_vector(15 downto 0);
119
    TILE0_RXRESET0_IN                       : in   std_logic;
120
    TILE0_RXRESET1_IN                       : in   std_logic;
121
    TILE0_RXUSRCLK0_IN                      : in   std_logic;
122
    TILE0_RXUSRCLK1_IN                      : in   std_logic;
123
    TILE0_RXUSRCLK20_IN                     : in   std_logic;
124
    TILE0_RXUSRCLK21_IN                     : in   std_logic;
125
    ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
126
    TILE0_GATERXELECIDLE0_IN                : in   std_logic;
127
    TILE0_GATERXELECIDLE1_IN                : in   std_logic;
128
    TILE0_IGNORESIGDET0_IN                  : in   std_logic;
129
    TILE0_IGNORESIGDET1_IN                  : in   std_logic;
130
    TILE0_RXELECIDLE0_OUT                   : out  std_logic;
131
    TILE0_RXELECIDLE1_OUT                   : out  std_logic;
132
    TILE0_RXN0_IN                           : in   std_logic;
133
    TILE0_RXN1_IN                           : in   std_logic;
134
    TILE0_RXP0_IN                           : in   std_logic;
135
    TILE0_RXP1_IN                           : in   std_logic;
136
    ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
137
    TILE0_RXSTATUS0_OUT                     : out  std_logic_vector(2 downto 0);
138
    TILE0_RXSTATUS1_OUT                     : out  std_logic_vector(2 downto 0);
139
    -------------- Receive Ports - RX Pipe Control for PCI Express -------------
140
    TILE0_PHYSTATUS0_OUT                    : out  std_logic;
141
    TILE0_PHYSTATUS1_OUT                    : out  std_logic;
142
    TILE0_RXVALID0_OUT                      : out  std_logic;
143
    TILE0_RXVALID1_OUT                      : out  std_logic;
144
    -------------------- Receive Ports - RX Polarity Control -------------------
145
    TILE0_RXPOLARITY0_IN                    : in   std_logic;
146
    TILE0_RXPOLARITY1_IN                    : in   std_logic;
147
    ---------------------------- TX/RX Datapath Ports --------------------------
148
    TILE0_GTPCLKOUT0_OUT                    : out  std_logic_vector(1 downto 0);
149
    TILE0_GTPCLKOUT1_OUT                    : out  std_logic_vector(1 downto 0);
150
    ------------------- Transmit Ports - 8b10b Encoder Control -----------------
151
    TILE0_TXCHARDISPMODE0_IN                : in   std_logic_vector(1 downto 0);
152
    TILE0_TXCHARDISPMODE1_IN                : in   std_logic_vector(1 downto 0);
153
    TILE0_TXCHARISK0_IN                     : in   std_logic_vector(1 downto 0);
154
    TILE0_TXCHARISK1_IN                     : in   std_logic_vector(1 downto 0);
155
    ------------------ Transmit Ports - TX Data Path interface -----------------
156
    TILE0_TXDATA0_IN                        : in   std_logic_vector(15 downto 0);
157
    TILE0_TXDATA1_IN                        : in   std_logic_vector(15 downto 0);
158
    TILE0_TXUSRCLK0_IN                      : in   std_logic;
159
    TILE0_TXUSRCLK1_IN                      : in   std_logic;
160
    TILE0_TXUSRCLK20_IN                     : in   std_logic;
161
    TILE0_TXUSRCLK21_IN                     : in   std_logic;
162
    --------------- Transmit Ports - TX Driver and OOB signalling --------------
163
    TILE0_TXN0_OUT                          : out  std_logic;
164
    TILE0_TXN1_OUT                          : out  std_logic;
165
    TILE0_TXP0_OUT                          : out  std_logic;
166
    TILE0_TXP1_OUT                          : out  std_logic;
167
    ----------------- Transmit Ports - TX Ports for PCI Express ----------------
168
    TILE0_TXDETECTRX0_IN                    : in   std_logic;
169
    TILE0_TXDETECTRX1_IN                    : in   std_logic;
170
    TILE0_TXELECIDLE0_IN                    : in   std_logic;
171
    TILE0_TXELECIDLE1_IN                    : in   std_logic
172
 
173
 
174
);
175
 
176
    attribute CORE_GENERATION_INFO : string;
177
    attribute CORE_GENERATION_INFO of GTPA1_DUAL_WRAPPER : entity is "GTPA1_DUAL_WRAPPER,s6_gtpwizard_v1_3,{gtp0_protocol_file=pcie,gtp1_protocol_file=Use_GTP0_settings}";
178
 
179
end GTPA1_DUAL_WRAPPER;
180
 
181
architecture RTL of GTPA1_DUAL_WRAPPER is
182
 
183
--***************************** Signal Declarations *****************************
184
 
185
    -- ground and tied_to_vcc_i signals
186
    signal  tied_to_ground_i                :   std_logic;
187
    signal  tied_to_ground_vec_i            :   std_logic_vector(63 downto 0);
188
    signal  tied_to_vcc_i                   :   std_logic;
189
 
190
    signal  tile0_plllkdet0_i       :   std_logic;
191
    signal  tile0_plllkdet1_i       :   std_logic;
192
 
193
    signal  tile0_plllkdet0_i2       :   std_logic;
194
    signal  tile0_plllkdet1_i2       :   std_logic;
195
 
196
 
197
--*************************** Component Declarations **************************
198
 
199
component GTPA1_DUAL_WRAPPER_TILE
200
generic
201
(
202
    -- Simulation attributes
203
    TILE_SIM_GTPRESET_SPEEDUP    : integer   := 0; -- Set to 1 to speed up sim reset 
204
 
205
    --
206
    TILE_CLKINDC_B_0             : boolean   := FALSE;
207
    TIlE_CLKINDC_B_1             : boolean   := FALSE;
208
    --
209
    TILE_PLL_SOURCE_0            : string    := "PLL0";
210
    TILE_PLL_SOURCE_1            : string    := "PLL1"
211
);
212
port
213
(
214
    ------------------------ Loopback and Powerdown Ports ----------------------
215
    RXPOWERDOWN0_IN                         : in   std_logic_vector(1 downto 0);
216
    RXPOWERDOWN1_IN                         : in   std_logic_vector(1 downto 0);
217
    TXPOWERDOWN0_IN                         : in   std_logic_vector(1 downto 0);
218
    TXPOWERDOWN1_IN                         : in   std_logic_vector(1 downto 0);
219
    --------------------------------- PLL Ports --------------------------------
220
    CLK00_IN                                : in   std_logic;
221
    CLK01_IN                                : in   std_logic;
222
    GTPRESET0_IN                            : in   std_logic;
223
    GTPRESET1_IN                            : in   std_logic;
224
    PLLLKDET0_OUT                           : out  std_logic;
225
    PLLLKDET1_OUT                           : out  std_logic;
226
    RESETDONE0_OUT                          : out  std_logic;
227
    RESETDONE1_OUT                          : out  std_logic;
228
    ----------------------- Receive Ports - 8b10b Decoder ----------------------
229
    RXCHARISK0_OUT                          : out  std_logic_vector(1 downto 0);
230
    RXCHARISK1_OUT                          : out  std_logic_vector(1 downto 0);
231
    RXDISPERR0_OUT                          : out  std_logic_vector(1 downto 0);
232
    RXDISPERR1_OUT                          : out  std_logic_vector(1 downto 0);
233
    RXNOTINTABLE0_OUT                       : out  std_logic_vector(1 downto 0);
234
    RXNOTINTABLE1_OUT                       : out  std_logic_vector(1 downto 0);
235
    ---------------------- Receive Ports - Clock Correction --------------------
236
    RXCLKCORCNT0_OUT                        : out  std_logic_vector(2 downto 0);
237
    RXCLKCORCNT1_OUT                        : out  std_logic_vector(2 downto 0);
238
    --------------- Receive Ports - Comma Detection and Alignment --------------
239
    RXENMCOMMAALIGN0_IN                     : in   std_logic;
240
    RXENMCOMMAALIGN1_IN                     : in   std_logic;
241
    RXENPCOMMAALIGN0_IN                     : in   std_logic;
242
    RXENPCOMMAALIGN1_IN                     : in   std_logic;
243
    ------------------- Receive Ports - RX Data Path interface -----------------
244
    RXDATA0_OUT                             : out  std_logic_vector(15 downto 0);
245
    RXDATA1_OUT                             : out  std_logic_vector(15 downto 0);
246
    RXRESET0_IN                             : in   std_logic;
247
    RXRESET1_IN                             : in   std_logic;
248
    RXUSRCLK0_IN                            : in   std_logic;
249
    RXUSRCLK1_IN                            : in   std_logic;
250
    RXUSRCLK20_IN                           : in   std_logic;
251
    RXUSRCLK21_IN                           : in   std_logic;
252
    ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
253
    GATERXELECIDLE0_IN                      : in   std_logic;
254
    GATERXELECIDLE1_IN                      : in   std_logic;
255
    IGNORESIGDET0_IN                        : in   std_logic;
256
    IGNORESIGDET1_IN                        : in   std_logic;
257
    RXELECIDLE0_OUT                         : out  std_logic;
258
    RXELECIDLE1_OUT                         : out  std_logic;
259
    RXN0_IN                                 : in   std_logic;
260
    RXN1_IN                                 : in   std_logic;
261
    RXP0_IN                                 : in   std_logic;
262
    RXP1_IN                                 : in   std_logic;
263
    ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
264
    RXSTATUS0_OUT                           : out  std_logic_vector(2 downto 0);
265
    RXSTATUS1_OUT                           : out  std_logic_vector(2 downto 0);
266
    -------------- Receive Ports - RX Pipe Control for PCI Express -------------
267
    PHYSTATUS0_OUT                          : out  std_logic;
268
    PHYSTATUS1_OUT                          : out  std_logic;
269
    RXVALID0_OUT                            : out  std_logic;
270
    RXVALID1_OUT                            : out  std_logic;
271
    -------------------- Receive Ports - RX Polarity Control -------------------
272
    RXPOLARITY0_IN                          : in   std_logic;
273
    RXPOLARITY1_IN                          : in   std_logic;
274
    ---------------------------- TX/RX Datapath Ports --------------------------
275
    GTPCLKOUT0_OUT                          : out  std_logic_vector(1 downto 0);
276
    GTPCLKOUT1_OUT                          : out  std_logic_vector(1 downto 0);
277
    ------------------- Transmit Ports - 8b10b Encoder Control -----------------
278
    TXCHARDISPMODE0_IN                      : in   std_logic_vector(1 downto 0);
279
    TXCHARDISPMODE1_IN                      : in   std_logic_vector(1 downto 0);
280
    TXCHARISK0_IN                           : in   std_logic_vector(1 downto 0);
281
    TXCHARISK1_IN                           : in   std_logic_vector(1 downto 0);
282
    ------------------ Transmit Ports - TX Data Path interface -----------------
283
    TXDATA0_IN                              : in   std_logic_vector(15 downto 0);
284
    TXDATA1_IN                              : in   std_logic_vector(15 downto 0);
285
    TXUSRCLK0_IN                            : in   std_logic;
286
    TXUSRCLK1_IN                            : in   std_logic;
287
    TXUSRCLK20_IN                           : in   std_logic;
288
    TXUSRCLK21_IN                           : in   std_logic;
289
    --------------- Transmit Ports - TX Driver and OOB signalling --------------
290
    TXN0_OUT                                : out  std_logic;
291
    TXN1_OUT                                : out  std_logic;
292
    TXP0_OUT                                : out  std_logic;
293
    TXP1_OUT                                : out  std_logic;
294
    ----------------- Transmit Ports - TX Ports for PCI Express ----------------
295
    TXDETECTRX0_IN                          : in   std_logic;
296
    TXDETECTRX1_IN                          : in   std_logic;
297
    TXELECIDLE0_IN                          : in   std_logic;
298
    TXELECIDLE1_IN                          : in   std_logic
299
 
300
 
301
);
302
end component;
303
 
304
 
305
--********************************* Main Body of Code**************************
306
 
307
begin
308
 
309
    tied_to_ground_i                    <= '0';
310
    tied_to_ground_vec_i(63 downto 0)   <= (others => '0');
311
    tied_to_vcc_i                       <= '1';
312
 
313
simulation : if WRAPPER_SIMULATION = 1 generate
314
 
315
    TILE0_PLLLKDET0_OUT                     <= tile0_plllkdet0_i2;
316
    TILE0_PLLLKDET1_OUT                     <= tile0_plllkdet1_i2;
317
 
318
 
319
    process
320
    begin
321
        wait until tile0_plllkdet0_i'event;
322
        if (tile0_plllkdet0_i = '1') then
323
          tile0_plllkdet0_i2 <= '1' after 100 ns;
324
        else
325
          tile0_plllkdet0_i2 <= tile0_plllkdet0_i;
326
        end if;
327
    end process;
328
    process
329
    begin
330
        wait until tile0_plllkdet1_i'event;
331
        if (tile0_plllkdet1_i = '1') then
332
          tile0_plllkdet1_i2 <= '1' after 100 ns;
333
        else
334
          tile0_plllkdet1_i2 <= tile0_plllkdet1_i;
335
        end if;
336
    end process;
337
 
338
end generate simulation;
339
 
340
implementation : if WRAPPER_SIMULATION = 0 generate
341
 
342
    TILE0_PLLLKDET0_OUT                     <= tile0_plllkdet0_i;
343
    TILE0_PLLLKDET1_OUT                     <= tile0_plllkdet1_i;
344
 
345
end generate implementation;
346
 
347
    --------------------------- Tile Instances  -------------------------------   
348
 
349
 
350
    --_________________________________________________________________________
351
    --_________________________________________________________________________
352
    --TILE0  (X0_Y0)
353
 
354
    tile0_gtpa1_dual_wrapper_i : GTPA1_DUAL_WRAPPER_TILE
355
    generic map
356
    (
357
        -- Simulation attributes
358
        TILE_SIM_GTPRESET_SPEEDUP    => WRAPPER_SIM_GTPRESET_SPEEDUP,
359
 
360
        -- 
361
        TILE_CLKINDC_B_0             => TRUE,
362
        TILE_CLKINDC_B_1             => TRUE,
363
        --
364
        TILE_PLL_SOURCE_0            => "PLL0",
365
        TILE_PLL_SOURCE_1            => "PLL1"
366
    )
367
    port map
368
    (
369
        ------------------------ Loopback and Powerdown Ports ----------------------
370
        RXPOWERDOWN0_IN                 =>      TILE0_RXPOWERDOWN0_IN,
371
        RXPOWERDOWN1_IN                 =>      TILE0_RXPOWERDOWN1_IN,
372
        TXPOWERDOWN0_IN                 =>      TILE0_TXPOWERDOWN0_IN,
373
        TXPOWERDOWN1_IN                 =>      TILE0_TXPOWERDOWN1_IN,
374
        --------------------------------- PLL Ports --------------------------------
375
        CLK00_IN                        =>      TILE0_CLK00_IN,
376
        CLK01_IN                        =>      TILE0_CLK01_IN,
377
        GTPRESET0_IN                    =>      TILE0_GTPRESET0_IN,
378
        GTPRESET1_IN                    =>      TILE0_GTPRESET1_IN,
379
        PLLLKDET0_OUT                   =>      tile0_plllkdet0_i,
380
        PLLLKDET1_OUT                   =>      tile0_plllkdet1_i,
381
        RESETDONE0_OUT                  =>      TILE0_RESETDONE0_OUT,
382
        RESETDONE1_OUT                  =>      TILE0_RESETDONE1_OUT,
383
        ----------------------- Receive Ports - 8b10b Decoder ----------------------
384
        RXCHARISK0_OUT                  =>      TILE0_RXCHARISK0_OUT,
385
        RXCHARISK1_OUT                  =>      TILE0_RXCHARISK1_OUT,
386
        RXDISPERR0_OUT                  =>      TILE0_RXDISPERR0_OUT,
387
        RXDISPERR1_OUT                  =>      TILE0_RXDISPERR1_OUT,
388
        RXNOTINTABLE0_OUT               =>      TILE0_RXNOTINTABLE0_OUT,
389
        RXNOTINTABLE1_OUT               =>      TILE0_RXNOTINTABLE1_OUT,
390
        ---------------------- Receive Ports - Clock Correction --------------------
391
        RXCLKCORCNT0_OUT                =>      TILE0_RXCLKCORCNT0_OUT,
392
        RXCLKCORCNT1_OUT                =>      TILE0_RXCLKCORCNT1_OUT,
393
        --------------- Receive Ports - Comma Detection and Alignment --------------
394
        RXENMCOMMAALIGN0_IN             =>      TILE0_RXENMCOMMAALIGN0_IN,
395
        RXENMCOMMAALIGN1_IN             =>      TILE0_RXENMCOMMAALIGN1_IN,
396
        RXENPCOMMAALIGN0_IN             =>      TILE0_RXENPCOMMAALIGN0_IN,
397
        RXENPCOMMAALIGN1_IN             =>      TILE0_RXENPCOMMAALIGN1_IN,
398
        ------------------- Receive Ports - RX Data Path interface -----------------
399
        RXDATA0_OUT                     =>      TILE0_RXDATA0_OUT,
400
        RXDATA1_OUT                     =>      TILE0_RXDATA1_OUT,
401
        RXRESET0_IN                     =>      TILE0_RXRESET0_IN,
402
        RXRESET1_IN                     =>      TILE0_RXRESET1_IN,
403
        RXUSRCLK0_IN                    =>      TILE0_RXUSRCLK0_IN,
404
        RXUSRCLK1_IN                    =>      TILE0_RXUSRCLK1_IN,
405
        RXUSRCLK20_IN                   =>      TILE0_RXUSRCLK20_IN,
406
        RXUSRCLK21_IN                   =>      TILE0_RXUSRCLK21_IN,
407
        ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
408
        GATERXELECIDLE0_IN              =>      TILE0_GATERXELECIDLE0_IN,
409
        GATERXELECIDLE1_IN              =>      TILE0_GATERXELECIDLE1_IN,
410
        IGNORESIGDET0_IN                =>      TILE0_IGNORESIGDET0_IN,
411
        IGNORESIGDET1_IN                =>      TILE0_IGNORESIGDET1_IN,
412
        RXELECIDLE0_OUT                 =>      TILE0_RXELECIDLE0_OUT,
413
        RXELECIDLE1_OUT                 =>      TILE0_RXELECIDLE1_OUT,
414
        RXN0_IN                         =>      TILE0_RXN0_IN,
415
        RXN1_IN                         =>      TILE0_RXN1_IN,
416
        RXP0_IN                         =>      TILE0_RXP0_IN,
417
        RXP1_IN                         =>      TILE0_RXP1_IN,
418
        ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
419
        RXSTATUS0_OUT                   =>      TILE0_RXSTATUS0_OUT,
420
        RXSTATUS1_OUT                   =>      TILE0_RXSTATUS1_OUT,
421
        -------------- Receive Ports - RX Pipe Control for PCI Express -------------
422
        PHYSTATUS0_OUT                  =>      TILE0_PHYSTATUS0_OUT,
423
        PHYSTATUS1_OUT                  =>      TILE0_PHYSTATUS1_OUT,
424
        RXVALID0_OUT                    =>      TILE0_RXVALID0_OUT,
425
        RXVALID1_OUT                    =>      TILE0_RXVALID1_OUT,
426
        -------------------- Receive Ports - RX Polarity Control -------------------
427
        RXPOLARITY0_IN                  =>      TILE0_RXPOLARITY0_IN,
428
        RXPOLARITY1_IN                  =>      TILE0_RXPOLARITY1_IN,
429
        ---------------------------- TX/RX Datapath Ports --------------------------
430
        GTPCLKOUT0_OUT                  =>      TILE0_GTPCLKOUT0_OUT,
431
        GTPCLKOUT1_OUT                  =>      TILE0_GTPCLKOUT1_OUT,
432
        ------------------- Transmit Ports - 8b10b Encoder Control -----------------
433
        TXCHARDISPMODE0_IN              =>      TILE0_TXCHARDISPMODE0_IN,
434
        TXCHARDISPMODE1_IN              =>      TILE0_TXCHARDISPMODE1_IN,
435
        TXCHARISK0_IN                   =>      TILE0_TXCHARISK0_IN,
436
        TXCHARISK1_IN                   =>      TILE0_TXCHARISK1_IN,
437
        ------------------ Transmit Ports - TX Data Path interface -----------------
438
        TXDATA0_IN                      =>      TILE0_TXDATA0_IN,
439
        TXDATA1_IN                      =>      TILE0_TXDATA1_IN,
440
        TXUSRCLK0_IN                    =>      TILE0_TXUSRCLK0_IN,
441
        TXUSRCLK1_IN                    =>      TILE0_TXUSRCLK1_IN,
442
        TXUSRCLK20_IN                   =>      TILE0_TXUSRCLK20_IN,
443
        TXUSRCLK21_IN                   =>      TILE0_TXUSRCLK21_IN,
444
        --------------- Transmit Ports - TX Driver and OOB signalling --------------
445
        TXN0_OUT                        =>      TILE0_TXN0_OUT,
446
        TXN1_OUT                        =>      TILE0_TXN1_OUT,
447
        TXP0_OUT                        =>      TILE0_TXP0_OUT,
448
        TXP1_OUT                        =>      TILE0_TXP1_OUT,
449
        ----------------- Transmit Ports - TX Ports for PCI Express ----------------
450
        TXDETECTRX0_IN                  =>      TILE0_TXDETECTRX0_IN,
451
        TXDETECTRX1_IN                  =>      TILE0_TXDETECTRX1_IN,
452
        TXELECIDLE0_IN                  =>      TILE0_TXELECIDLE0_IN,
453
        TXELECIDLE1_IN                  =>      TILE0_TXELECIDLE1_IN
454
 
455
    );
456
 
457
 
458
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.