OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [synopsis.2] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5,
14
    'base_system_period_simulink' => '8e-009',
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0,
19
    'clock_loc' => '',
20
    'clock_wrapper' => 'Clock Enables',
21
    'clock_wrapper_sgadvanced' => '',
22
    'compilation' => 'NGC Netlist',
23
    'compilation_lut' => {
24
      'keys' => [
25
        'HDL Netlist',
26
        'Bitstream',
27
        'NGC Netlist',
28
      ],
29
      'values' => [
30
        'target1',
31
        'target2',
32
        'target3',
33
      ],
34
    },
35
    'compilation_target' => 'NGC Netlist',
36
    'core_generation' => 1,
37
    'core_generation_sgadvanced' => '',
38
    'core_is_deployed' => 0,
39
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c46e83d2645affbd5',
40
    'coregen_part_family' => 'virtex6',
41
    'createTestbench' => 0,
42
    'create_interface_document' => 'off',
43
    'dbl_ovrd' => -1,
44
    'dbl_ovrd_sgadvanced' => '',
45
    'dcm_info' => {
46
    },
47
    'dcm_input_clock_period' => 5,
48
    'deprecated_control' => 'off',
49
    'deprecated_control_sgadvanced' => '',
50
    'design' => 'inout_logic',
51
    'designFile' => 'inout_logic.vhd',
52
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\ML605_ISE13.3\\MySysGen\\PCIe_UserLogic_00.mdl',
53
    'device' => 'xc6vlx240t-1ff1156',
54
    'device_speed' => -1,
55
    'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
56
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
57
    'entityNamingInstrs' => {
58
      'nameMap' => undef,
59
      'namesAlreadyUsed' => undef,
60
    },
61
    'eval_field' => 0,
62
    'fileAttributes' => {
63
      'nonleaf_results.vhd' => {
64
        'producer' => 'nonleafNetlister',
65
      },
66
    },
67
    'files' => [
68
      'xlpersistentdff.ngc',
69
      'synopsis',
70
      'inout_logic.vhd',
71
    ],
72
    'fxdptinstalled' => 1,
73
    'generateUsing71FrontEnd' => 1,
74
    'generating_island_subsystem_handle' => 2084.00048828125,
75
    'generating_subsystem_handle' => 2084.00048828125,
76
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
77
    'has_advanced_control' => 0,
78
    'hdlDir' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen/hdl',
79
    'hdlKind' => 'vhdl',
80
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
81
    'impl_file' => 'ISE Defaults*',
82
    'incr_netlist' => 'off',
83
    'incr_netlist_sgadvanced' => '',
84
    'infoedit' => ' System Generator',
85
    'isCombinatorial' => 1,
86
    'isdeployed' => 0,
87
    'ise_version' => '13.3i',
88
    'master_sysgen_token_handle' => 2085.00048828125,
89
    'matlab' => 'C:/Programmi/MATLAB/R2010b',
90
    'matlab_fixedpoint' => 1,
91
    'mdlHandle' => 2083.00048828125,
92
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
93
    'modelDiagnostics' => [
94
      {
95
        'count' => 351,
96
        'isMask' => 0,
97
        'type' => 'PCIe_UserLogic_00 Total blocks',
98
      },
99
      {
100
        'count' => 4,
101
        'isMask' => 0,
102
        'type' => 'DiscretePulseGenerator',
103
      },
104
      {
105
        'count' => 339,
106
        'isMask' => 0,
107
        'type' => 'S-Function',
108
      },
109
      {
110
        'count' => 4,
111
        'isMask' => 0,
112
        'type' => 'SubSystem',
113
      },
114
      {
115
        'count' => 4,
116
        'isMask' => 0,
117
        'type' => 'Terminator',
118
      },
119
      {
120
        'count' => 1,
121
        'isMask' => 1,
122
        'type' => 'Xilinx ChipScope Block',
123
      },
124
      {
125
        'count' => 23,
126
        'isMask' => 1,
127
        'type' => 'Xilinx Constant Block Block',
128
      },
129
      {
130
        'count' => 1,
131
        'isMask' => 1,
132
        'type' => 'Xilinx Counter Block',
133
      },
134
      {
135
        'count' => 44,
136
        'isMask' => 1,
137
        'type' => 'Xilinx Gateway In Block',
138
      },
139
      {
140
        'count' => 39,
141
        'isMask' => 1,
142
        'type' => 'Xilinx Gateway Out Block',
143
      },
144
      {
145
        'count' => 2,
146
        'isMask' => 1,
147
        'type' => 'Xilinx Inverter Block',
148
      },
149
      {
150
        'count' => 1,
151
        'isMask' => 1,
152
        'type' => 'Xilinx Logical Block Block',
153
      },
154
      {
155
        'count' => 89,
156
        'isMask' => 1,
157
        'type' => 'Xilinx Register Block',
158
      },
159
      {
160
        'count' => 62,
161
        'isMask' => 1,
162
        'type' => 'Xilinx Shared Memory Based From Register Block',
163
      },
164
      {
165
        'count' => 62,
166
        'isMask' => 1,
167
        'type' => 'Xilinx Shared Memory Based To Register Block',
168
      },
169
      {
170
        'count' => 1,
171
        'isMask' => 1,
172
        'type' => 'Xilinx Subsystem Generator Block',
173
      },
174
      {
175
        'count' => 2,
176
        'isMask' => 1,
177
        'type' => 'Xilinx System Generator Block',
178
      },
179
      {
180
        'count' => 14,
181
        'isMask' => 1,
182
        'type' => 'Xilinx Type Converter Block',
183
      },
184
    ],
185
    'model_globals_initialized' => 1,
186
    'model_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
187
    'myxilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
188
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
189
    'ngc_config' => {
190
      'include_cf' => 1,
191
      'include_clockwrapper' => 1,
192
    },
193
    'ngc_files' => [
194
      'xlpersistentdff.ngc',
195
    ],
196
    'num_sim_cycles' => 1250000000,
197
    'package' => 'ff1156',
198
    'part' => 'xc6vlx240t',
199
    'partFamily' => 'virtex6',
200
    'port_data_types_enabled' => 1,
201
    'postgeneration_fcn' => 'xlNGCPostGeneration',
202
    'preserve_hierarchy' => 0,
203
    'proj_type' => 'Project Navigator',
204
    'proj_type_sgadvanced' => '',
205
    'run_coregen' => 'off',
206
    'run_coregen_sgadvanced' => '',
207
    'sample_time_colors_enabled' => 1,
208
    'sampletimecolors' => 1,
209
    'settings_fcn' => 'xlngcsettings',
210
    'sg_blockgui_xml' => '',
211
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
212
    'sg_list_contents' => '',
213
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
214
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
215
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
216
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
217
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
218
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
219
fprintf(\'\',\'COMMENT: end icon graphics\');
220
fprintf(\'\',\'COMMENT: begin icon text\');
221
fprintf(\'\',\'COMMENT: end icon text\');',
222
    'sg_version' => '',
223
    'sggui_pos' => '-1,-1,-1,-1',
224
    'simulation_island_subsystem_handle' => 2084.00048828125,
225
    'simulinkName' => 'parking_lot',
226
    'simulink_accelerator_running' => 0,
227
    'simulink_debugger_running' => 0,
228
    'simulink_period' => '8e-009',
229
    'speed' => -1,
230
    'synth_file' => 'XST Defaults*',
231
    'synthesisTool' => 'XST',
232
    'synthesis_language' => 'vhdl',
233
    'synthesis_tool' => 'XST',
234
    'synthesis_tool_sgadvanced' => '',
235
    'sysclk_period' => 5,
236
    'sysgen' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
237
    'sysgenRoot' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
238
    'sysgenTokenSettings' => {
239
      'Impl_file' => 'ISE Defaults',
240
      'Impl_file_sgadvanced' => '',
241
      'Synth_file' => 'XST Defaults',
242
      'Synth_file_sgadvanced' => '',
243
      'base_system_period_hardware' => 5,
244
      'base_system_period_simulink' => '8e-009',
245
      'block_icon_display' => 'Default',
246
      'block_type' => 'sysgen',
247
      'block_version' => '',
248
      'ce_clr' => 0,
249
      'clock_loc' => '',
250
      'clock_wrapper' => 'Clock Enables',
251
      'clock_wrapper_sgadvanced' => '',
252
      'compilation' => 'NGC Netlist',
253
      'compilation_lut' => {
254
        'keys' => [
255
          'HDL Netlist',
256
          'Bitstream',
257
          'NGC Netlist',
258
        ],
259
        'values' => [
260
          'target1',
261
          'target2',
262
          'target3',
263
        ],
264
      },
265
      'core_generation' => 1,
266
      'core_generation_sgadvanced' => '',
267
      'coregen_part_family' => 'virtex6',
268
      'create_interface_document' => 'off',
269
      'dbl_ovrd' => -1,
270
      'dbl_ovrd_sgadvanced' => '',
271
      'dcm_input_clock_period' => 5,
272
      'deprecated_control' => 'off',
273
      'deprecated_control_sgadvanced' => '',
274
      'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
275
      'eval_field' => 0,
276
      'has_advanced_control' => 0,
277
      'impl_file' => 'ISE Defaults*',
278
      'incr_netlist' => 'off',
279
      'incr_netlist_sgadvanced' => '',
280
      'infoedit' => ' System Generator',
281
      'master_sysgen_token_handle' => 2085.00048828125,
282
      'ngc_config' => {
283
        'include_cf' => 1,
284
        'include_clockwrapper' => 1,
285
      },
286
      'package' => 'ff1156',
287
      'part' => 'xc6vlx240t',
288
      'postgeneration_fcn' => 'xlNGCPostGeneration',
289
      'preserve_hierarchy' => 0,
290
      'proj_type' => 'Project Navigator',
291
      'proj_type_sgadvanced' => '',
292
      'run_coregen' => 'off',
293
      'run_coregen_sgadvanced' => '',
294
      'settings_fcn' => 'xlngcsettings',
295
      'sg_blockgui_xml' => '',
296
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
297
      'sg_list_contents' => '',
298
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
299
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
300
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
301
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
302
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
303
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
304
fprintf(\'\',\'COMMENT: end icon graphics\');
305
fprintf(\'\',\'COMMENT: begin icon text\');
306
fprintf(\'\',\'COMMENT: end icon text\');',
307
      'sggui_pos' => '-1,-1,-1,-1',
308
      'simulation_island_subsystem_handle' => 2084.00048828125,
309
      'simulink_period' => '8e-009',
310
      'speed' => -1,
311
      'synth_file' => 'XST Defaults*',
312
      'synthesis_language' => 'vhdl',
313
      'synthesis_tool' => 'XST',
314
      'synthesis_tool_sgadvanced' => '',
315
      'sysclk_period' => 5,
316
      'testbench' => 0,
317
      'testbench_sgadvanced' => '',
318
      'trim_vbits' => 1,
319
      'trim_vbits_sgadvanced' => '',
320
      'xilinx_device' => 'xc6vlx240t-1ff1156',
321
      'xilinxfamily' => 'virtex6',
322
    },
323
    'sysgen_Root' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
324
    'systemClockPeriod' => 5,
325
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
326
    'testbench' => 0,
327
    'testbench_sgadvanced' => '',
328
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen',
329
    'trim_vbits' => 1,
330
    'trim_vbits_sgadvanced' => '',
331
    'use_ce_syn_keep' => 1,
332
    'use_strict_names' => 1,
333
    'user_tips_enabled' => 0,
334
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
335
    'using71Netlister' => 1,
336
    'verilog_files' => [
337
      'conv_pkg.v',
338
      'synth_reg.v',
339
      'synth_reg_w_init.v',
340
      'convert_type.v',
341
    ],
342
    'version' => '',
343
    'vhdl_files' => [
344
      'conv_pkg.vhd',
345
      'synth_reg.vhd',
346
      'synth_reg_w_init.vhd',
347
    ],
348
    'vsimtime' => '6875000275.000000 ns',
349
    'xilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
350
    'xilinx_device' => 'xc6vlx240t-1ff1156',
351
    'xilinx_family' => 'virtex6',
352
    'xilinx_package' => 'ff1156',
353
    'xilinx_part' => 'xc6vlx240t',
354
    'xilinxdevice' => 'xc6vlx240t-1ff1156',
355
    'xilinxfamily' => 'virtex6',
356
    'xilinxpart' => 'xc6vlx240t',
357
  },
358
  'entityName' => '',
359
  'nets' => {
360
    'ce_1_sg' => {
361
      'hdlType' => 'std_logic',
362
      'width' => 1,
363
    },
364
    'clk_1_sg' => {
365
      'hdlType' => 'std_logic',
366
      'width' => 1,
367
    },
368
    'constant1_op_net_x0' => {
369
      'hdlType' => 'std_logic',
370
      'width' => 1,
371
    },
372
    'constant1_op_net_x1' => {
373
      'hdlType' => 'std_logic',
374
      'width' => 1,
375
    },
376
    'constant1_op_net_x10' => {
377
      'hdlType' => 'std_logic',
378
      'width' => 1,
379
    },
380
    'constant1_op_net_x11' => {
381
      'hdlType' => 'std_logic',
382
      'width' => 1,
383
    },
384
    'constant1_op_net_x12' => {
385
      'hdlType' => 'std_logic',
386
      'width' => 1,
387
    },
388
    'constant1_op_net_x13' => {
389
      'hdlType' => 'std_logic',
390
      'width' => 1,
391
    },
392
    'constant1_op_net_x2' => {
393
      'hdlType' => 'std_logic',
394
      'width' => 1,
395
    },
396
    'constant1_op_net_x3' => {
397
      'hdlType' => 'std_logic',
398
      'width' => 1,
399
    },
400
    'constant1_op_net_x4' => {
401
      'hdlType' => 'std_logic',
402
      'width' => 1,
403
    },
404
    'constant1_op_net_x5' => {
405
      'hdlType' => 'std_logic',
406
      'width' => 1,
407
    },
408
    'constant1_op_net_x6' => {
409
      'hdlType' => 'std_logic',
410
      'width' => 1,
411
    },
412
    'constant1_op_net_x7' => {
413
      'hdlType' => 'std_logic',
414
      'width' => 1,
415
    },
416
    'constant1_op_net_x8' => {
417
      'hdlType' => 'std_logic',
418
      'width' => 1,
419
    },
420
    'constant1_op_net_x9' => {
421
      'hdlType' => 'std_logic',
422
      'width' => 1,
423
    },
424
    'constant5_op_net_x0' => {
425
      'hdlType' => 'std_logic',
426
      'width' => 1,
427
    },
428
    'constant5_op_net_x1' => {
429
      'hdlType' => 'std_logic',
430
      'width' => 1,
431
    },
432
    'constant5_op_net_x10' => {
433
      'hdlType' => 'std_logic',
434
      'width' => 1,
435
    },
436
    'constant5_op_net_x11' => {
437
      'hdlType' => 'std_logic',
438
      'width' => 1,
439
    },
440
    'constant5_op_net_x12' => {
441
      'hdlType' => 'std_logic',
442
      'width' => 1,
443
    },
444
    'constant5_op_net_x13' => {
445
      'hdlType' => 'std_logic',
446
      'width' => 1,
447
    },
448
    'constant5_op_net_x14' => {
449
      'hdlType' => 'std_logic',
450
      'width' => 1,
451
    },
452
    'constant5_op_net_x15' => {
453
      'hdlType' => 'std_logic',
454
      'width' => 1,
455
    },
456
    'constant5_op_net_x16' => {
457
      'hdlType' => 'std_logic',
458
      'width' => 1,
459
    },
460
    'constant5_op_net_x17' => {
461
      'hdlType' => 'std_logic',
462
      'width' => 1,
463
    },
464
    'constant5_op_net_x18' => {
465
      'hdlType' => 'std_logic',
466
      'width' => 1,
467
    },
468
    'constant5_op_net_x19' => {
469
      'hdlType' => 'std_logic',
470
      'width' => 1,
471
    },
472
    'constant5_op_net_x2' => {
473
      'hdlType' => 'std_logic',
474
      'width' => 1,
475
    },
476
    'constant5_op_net_x3' => {
477
      'hdlType' => 'std_logic',
478
      'width' => 1,
479
    },
480
    'constant5_op_net_x4' => {
481
      'hdlType' => 'std_logic',
482
      'width' => 1,
483
    },
484
    'constant5_op_net_x5' => {
485
      'hdlType' => 'std_logic',
486
      'width' => 1,
487
    },
488
    'constant5_op_net_x6' => {
489
      'hdlType' => 'std_logic',
490
      'width' => 1,
491
    },
492
    'constant5_op_net_x7' => {
493
      'hdlType' => 'std_logic',
494
      'width' => 1,
495
    },
496
    'constant5_op_net_x8' => {
497
      'hdlType' => 'std_logic',
498
      'width' => 1,
499
    },
500
    'constant5_op_net_x9' => {
501
      'hdlType' => 'std_logic',
502
      'width' => 1,
503
    },
504
    'debug_in_1i_net' => {
505
      'hdlType' => 'std_logic_vector(31 downto 0)',
506
      'width' => 32,
507
    },
508
    'debug_in_1i_net_x0' => {
509
      'hdlType' => 'std_logic_vector(31 downto 0)',
510
      'width' => 32,
511
    },
512
    'debug_in_2i_net' => {
513
      'hdlType' => 'std_logic_vector(31 downto 0)',
514
      'width' => 32,
515
    },
516
    'debug_in_2i_net_x0' => {
517
      'hdlType' => 'std_logic_vector(31 downto 0)',
518
      'width' => 32,
519
    },
520
    'debug_in_3i_net' => {
521
      'hdlType' => 'std_logic_vector(31 downto 0)',
522
      'width' => 32,
523
    },
524
    'debug_in_3i_net_x0' => {
525
      'hdlType' => 'std_logic_vector(31 downto 0)',
526
      'width' => 32,
527
    },
528
    'debug_in_4i_net' => {
529
      'hdlType' => 'std_logic_vector(31 downto 0)',
530
      'width' => 32,
531
    },
532
    'debug_in_4i_net_x0' => {
533
      'hdlType' => 'std_logic_vector(31 downto 0)',
534
      'width' => 32,
535
    },
536
    'dma_host2board_busy_net' => {
537
      'hdlType' => 'std_logic',
538
      'width' => 1,
539
    },
540
    'dma_host2board_busy_net_x0' => {
541
      'hdlType' => 'std_logic',
542
      'width' => 1,
543
    },
544
    'dma_host2board_done_net' => {
545
      'hdlType' => 'std_logic',
546
      'width' => 1,
547
    },
548
    'dma_host2board_done_net_x0' => {
549
      'hdlType' => 'std_logic',
550
      'width' => 1,
551
    },
552
    'from_register10_data_out_net' => {
553
      'hdlType' => 'std_logic_vector(31 downto 0)',
554
      'width' => 32,
555
    },
556
    'from_register10_data_out_net_x0' => {
557
      'hdlType' => 'std_logic_vector(31 downto 0)',
558
      'width' => 32,
559
    },
560
    'from_register11_data_out_net' => {
561
      'hdlType' => 'std_logic_vector(31 downto 0)',
562
      'width' => 32,
563
    },
564
    'from_register11_data_out_net_x0' => {
565
      'hdlType' => 'std_logic_vector(31 downto 0)',
566
      'width' => 32,
567
    },
568
    'from_register12_data_out_net' => {
569
      'hdlType' => 'std_logic',
570
      'width' => 1,
571
    },
572
    'from_register12_data_out_net_x0' => {
573
      'hdlType' => 'std_logic',
574
      'width' => 1,
575
    },
576
    'from_register13_data_out_net' => {
577
      'hdlType' => 'std_logic_vector(31 downto 0)',
578
      'width' => 32,
579
    },
580
    'from_register13_data_out_net_x0' => {
581
      'hdlType' => 'std_logic_vector(31 downto 0)',
582
      'width' => 32,
583
    },
584
    'from_register14_data_out_net' => {
585
      'hdlType' => 'std_logic',
586
      'width' => 1,
587
    },
588
    'from_register14_data_out_net_x0' => {
589
      'hdlType' => 'std_logic',
590
      'width' => 1,
591
    },
592
    'from_register15_data_out_net' => {
593
      'hdlType' => 'std_logic_vector(31 downto 0)',
594
      'width' => 32,
595
    },
596
    'from_register15_data_out_net_x0' => {
597
      'hdlType' => 'std_logic_vector(31 downto 0)',
598
      'width' => 32,
599
    },
600
    'from_register16_data_out_net' => {
601
      'hdlType' => 'std_logic',
602
      'width' => 1,
603
    },
604
    'from_register16_data_out_net_x0' => {
605
      'hdlType' => 'std_logic',
606
      'width' => 1,
607
    },
608
    'from_register17_data_out_net' => {
609
      'hdlType' => 'std_logic_vector(31 downto 0)',
610
      'width' => 32,
611
    },
612
    'from_register17_data_out_net_x0' => {
613
      'hdlType' => 'std_logic_vector(31 downto 0)',
614
      'width' => 32,
615
    },
616
    'from_register18_data_out_net' => {
617
      'hdlType' => 'std_logic',
618
      'width' => 1,
619
    },
620
    'from_register18_data_out_net_x0' => {
621
      'hdlType' => 'std_logic',
622
      'width' => 1,
623
    },
624
    'from_register19_data_out_net' => {
625
      'hdlType' => 'std_logic_vector(31 downto 0)',
626
      'width' => 32,
627
    },
628
    'from_register19_data_out_net_x0' => {
629
      'hdlType' => 'std_logic_vector(31 downto 0)',
630
      'width' => 32,
631
    },
632
    'from_register1_data_out_net' => {
633
      'hdlType' => 'std_logic',
634
      'width' => 1,
635
    },
636
    'from_register1_data_out_net_x0' => {
637
      'hdlType' => 'std_logic',
638
      'width' => 1,
639
    },
640
    'from_register20_data_out_net' => {
641
      'hdlType' => 'std_logic',
642
      'width' => 1,
643
    },
644
    'from_register20_data_out_net_x0' => {
645
      'hdlType' => 'std_logic',
646
      'width' => 1,
647
    },
648
    'from_register21_data_out_net' => {
649
      'hdlType' => 'std_logic_vector(31 downto 0)',
650
      'width' => 32,
651
    },
652
    'from_register21_data_out_net_x0' => {
653
      'hdlType' => 'std_logic_vector(31 downto 0)',
654
      'width' => 32,
655
    },
656
    'from_register22_data_out_net' => {
657
      'hdlType' => 'std_logic',
658
      'width' => 1,
659
    },
660
    'from_register22_data_out_net_x0' => {
661
      'hdlType' => 'std_logic',
662
      'width' => 1,
663
    },
664
    'from_register23_data_out_net' => {
665
      'hdlType' => 'std_logic_vector(31 downto 0)',
666
      'width' => 32,
667
    },
668
    'from_register23_data_out_net_x0' => {
669
      'hdlType' => 'std_logic_vector(31 downto 0)',
670
      'width' => 32,
671
    },
672
    'from_register24_data_out_net' => {
673
      'hdlType' => 'std_logic',
674
      'width' => 1,
675
    },
676
    'from_register24_data_out_net_x0' => {
677
      'hdlType' => 'std_logic',
678
      'width' => 1,
679
    },
680
    'from_register25_data_out_net' => {
681
      'hdlType' => 'std_logic_vector(31 downto 0)',
682
      'width' => 32,
683
    },
684
    'from_register25_data_out_net_x0' => {
685
      'hdlType' => 'std_logic_vector(31 downto 0)',
686
      'width' => 32,
687
    },
688
    'from_register26_data_out_net' => {
689
      'hdlType' => 'std_logic',
690
      'width' => 1,
691
    },
692
    'from_register26_data_out_net_x0' => {
693
      'hdlType' => 'std_logic',
694
      'width' => 1,
695
    },
696
    'from_register27_data_out_net' => {
697
      'hdlType' => 'std_logic_vector(31 downto 0)',
698
      'width' => 32,
699
    },
700
    'from_register27_data_out_net_x0' => {
701
      'hdlType' => 'std_logic_vector(31 downto 0)',
702
      'width' => 32,
703
    },
704
    'from_register28_data_out_net' => {
705
      'hdlType' => 'std_logic',
706
      'width' => 1,
707
    },
708
    'from_register28_data_out_net_x0' => {
709
      'hdlType' => 'std_logic',
710
      'width' => 1,
711
    },
712
    'from_register2_data_out_net' => {
713
      'hdlType' => 'std_logic',
714
      'width' => 1,
715
    },
716
    'from_register2_data_out_net_x0' => {
717
      'hdlType' => 'std_logic',
718
      'width' => 1,
719
    },
720
    'from_register3_data_out_net' => {
721
      'hdlType' => 'std_logic_vector(31 downto 0)',
722
      'width' => 32,
723
    },
724
    'from_register3_data_out_net_x0' => {
725
      'hdlType' => 'std_logic_vector(31 downto 0)',
726
      'width' => 32,
727
    },
728
    'from_register4_data_out_net' => {
729
      'hdlType' => 'std_logic',
730
      'width' => 1,
731
    },
732
    'from_register4_data_out_net_x0' => {
733
      'hdlType' => 'std_logic',
734
      'width' => 1,
735
    },
736
    'from_register5_data_out_net' => {
737
      'hdlType' => 'std_logic_vector(31 downto 0)',
738
      'width' => 32,
739
    },
740
    'from_register5_data_out_net_x0' => {
741
      'hdlType' => 'std_logic_vector(31 downto 0)',
742
      'width' => 32,
743
    },
744
    'from_register6_data_out_net' => {
745
      'hdlType' => 'std_logic',
746
      'width' => 1,
747
    },
748
    'from_register6_data_out_net_x0' => {
749
      'hdlType' => 'std_logic',
750
      'width' => 1,
751
    },
752
    'from_register7_data_out_net' => {
753
      'hdlType' => 'std_logic_vector(31 downto 0)',
754
      'width' => 32,
755
    },
756
    'from_register7_data_out_net_x0' => {
757
      'hdlType' => 'std_logic_vector(31 downto 0)',
758
      'width' => 32,
759
    },
760
    'from_register8_data_out_net' => {
761
      'hdlType' => 'std_logic_vector(31 downto 0)',
762
      'width' => 32,
763
    },
764
    'from_register8_data_out_net_x0' => {
765
      'hdlType' => 'std_logic_vector(31 downto 0)',
766
      'width' => 32,
767
    },
768
    'from_register9_data_out_net' => {
769
      'hdlType' => 'std_logic',
770
      'width' => 1,
771
    },
772
    'from_register9_data_out_net_x0' => {
773
      'hdlType' => 'std_logic',
774
      'width' => 1,
775
    },
776
    'reg01_td_net' => {
777
      'hdlType' => 'std_logic_vector(31 downto 0)',
778
      'width' => 32,
779
    },
780
    'reg01_td_net_x0' => {
781
      'hdlType' => 'std_logic_vector(31 downto 0)',
782
      'width' => 32,
783
    },
784
    'reg01_tv_net' => {
785
      'hdlType' => 'std_logic',
786
      'width' => 1,
787
    },
788
    'reg01_tv_net_x0' => {
789
      'hdlType' => 'std_logic',
790
      'width' => 1,
791
    },
792
    'reg02_td_net' => {
793
      'hdlType' => 'std_logic_vector(31 downto 0)',
794
      'width' => 32,
795
    },
796
    'reg02_td_net_x0' => {
797
      'hdlType' => 'std_logic_vector(31 downto 0)',
798
      'width' => 32,
799
    },
800
    'reg02_tv_net' => {
801
      'hdlType' => 'std_logic',
802
      'width' => 1,
803
    },
804
    'reg02_tv_net_x0' => {
805
      'hdlType' => 'std_logic',
806
      'width' => 1,
807
    },
808
    'reg03_td_net' => {
809
      'hdlType' => 'std_logic_vector(31 downto 0)',
810
      'width' => 32,
811
    },
812
    'reg03_td_net_x0' => {
813
      'hdlType' => 'std_logic_vector(31 downto 0)',
814
      'width' => 32,
815
    },
816
    'reg03_tv_net' => {
817
      'hdlType' => 'std_logic',
818
      'width' => 1,
819
    },
820
    'reg03_tv_net_x0' => {
821
      'hdlType' => 'std_logic',
822
      'width' => 1,
823
    },
824
    'reg04_td_net' => {
825
      'hdlType' => 'std_logic_vector(31 downto 0)',
826
      'width' => 32,
827
    },
828
    'reg04_td_net_x0' => {
829
      'hdlType' => 'std_logic_vector(31 downto 0)',
830
      'width' => 32,
831
    },
832
    'reg04_tv_net' => {
833
      'hdlType' => 'std_logic',
834
      'width' => 1,
835
    },
836
    'reg04_tv_net_x0' => {
837
      'hdlType' => 'std_logic',
838
      'width' => 1,
839
    },
840
    'reg05_td_net' => {
841
      'hdlType' => 'std_logic_vector(31 downto 0)',
842
      'width' => 32,
843
    },
844
    'reg05_td_net_x0' => {
845
      'hdlType' => 'std_logic_vector(31 downto 0)',
846
      'width' => 32,
847
    },
848
    'reg05_tv_net' => {
849
      'hdlType' => 'std_logic',
850
      'width' => 1,
851
    },
852
    'reg05_tv_net_x0' => {
853
      'hdlType' => 'std_logic',
854
      'width' => 1,
855
    },
856
    'reg06_td_net' => {
857
      'hdlType' => 'std_logic_vector(31 downto 0)',
858
      'width' => 32,
859
    },
860
    'reg06_td_net_x0' => {
861
      'hdlType' => 'std_logic_vector(31 downto 0)',
862
      'width' => 32,
863
    },
864
    'reg06_tv_net' => {
865
      'hdlType' => 'std_logic',
866
      'width' => 1,
867
    },
868
    'reg06_tv_net_x0' => {
869
      'hdlType' => 'std_logic',
870
      'width' => 1,
871
    },
872
    'reg07_td_net' => {
873
      'hdlType' => 'std_logic_vector(31 downto 0)',
874
      'width' => 32,
875
    },
876
    'reg07_td_net_x0' => {
877
      'hdlType' => 'std_logic_vector(31 downto 0)',
878
      'width' => 32,
879
    },
880
    'reg07_tv_net' => {
881
      'hdlType' => 'std_logic',
882
      'width' => 1,
883
    },
884
    'reg07_tv_net_x0' => {
885
      'hdlType' => 'std_logic',
886
      'width' => 1,
887
    },
888
    'reg08_td_net' => {
889
      'hdlType' => 'std_logic_vector(31 downto 0)',
890
      'width' => 32,
891
    },
892
    'reg08_td_net_x0' => {
893
      'hdlType' => 'std_logic_vector(31 downto 0)',
894
      'width' => 32,
895
    },
896
    'reg08_tv_net' => {
897
      'hdlType' => 'std_logic',
898
      'width' => 1,
899
    },
900
    'reg08_tv_net_x0' => {
901
      'hdlType' => 'std_logic',
902
      'width' => 1,
903
    },
904
    'reg09_td_net' => {
905
      'hdlType' => 'std_logic_vector(31 downto 0)',
906
      'width' => 32,
907
    },
908
    'reg09_td_net_x0' => {
909
      'hdlType' => 'std_logic_vector(31 downto 0)',
910
      'width' => 32,
911
    },
912
    'reg09_tv_net' => {
913
      'hdlType' => 'std_logic',
914
      'width' => 1,
915
    },
916
    'reg09_tv_net_x0' => {
917
      'hdlType' => 'std_logic',
918
      'width' => 1,
919
    },
920
    'reg10_td_net' => {
921
      'hdlType' => 'std_logic_vector(31 downto 0)',
922
      'width' => 32,
923
    },
924
    'reg10_td_net_x0' => {
925
      'hdlType' => 'std_logic_vector(31 downto 0)',
926
      'width' => 32,
927
    },
928
    'reg10_tv_net' => {
929
      'hdlType' => 'std_logic',
930
      'width' => 1,
931
    },
932
    'reg10_tv_net_x0' => {
933
      'hdlType' => 'std_logic',
934
      'width' => 1,
935
    },
936
    'reg11_td_net' => {
937
      'hdlType' => 'std_logic_vector(31 downto 0)',
938
      'width' => 32,
939
    },
940
    'reg11_td_net_x0' => {
941
      'hdlType' => 'std_logic_vector(31 downto 0)',
942
      'width' => 32,
943
    },
944
    'reg11_tv_net' => {
945
      'hdlType' => 'std_logic',
946
      'width' => 1,
947
    },
948
    'reg11_tv_net_x0' => {
949
      'hdlType' => 'std_logic',
950
      'width' => 1,
951
    },
952
    'reg12_td_net' => {
953
      'hdlType' => 'std_logic_vector(31 downto 0)',
954
      'width' => 32,
955
    },
956
    'reg12_td_net_x0' => {
957
      'hdlType' => 'std_logic_vector(31 downto 0)',
958
      'width' => 32,
959
    },
960
    'reg12_tv_net' => {
961
      'hdlType' => 'std_logic',
962
      'width' => 1,
963
    },
964
    'reg12_tv_net_x0' => {
965
      'hdlType' => 'std_logic',
966
      'width' => 1,
967
    },
968
    'reg13_td_net' => {
969
      'hdlType' => 'std_logic_vector(31 downto 0)',
970
      'width' => 32,
971
    },
972
    'reg13_td_net_x0' => {
973
      'hdlType' => 'std_logic_vector(31 downto 0)',
974
      'width' => 32,
975
    },
976
    'reg13_tv_net' => {
977
      'hdlType' => 'std_logic',
978
      'width' => 1,
979
    },
980
    'reg13_tv_net_x0' => {
981
      'hdlType' => 'std_logic',
982
      'width' => 1,
983
    },
984
    'reg14_td_net' => {
985
      'hdlType' => 'std_logic_vector(31 downto 0)',
986
      'width' => 32,
987
    },
988
    'reg14_td_net_x0' => {
989
      'hdlType' => 'std_logic_vector(31 downto 0)',
990
      'width' => 32,
991
    },
992
    'reg14_tv_net' => {
993
      'hdlType' => 'std_logic',
994
      'width' => 1,
995
    },
996
    'reg14_tv_net_x0' => {
997
      'hdlType' => 'std_logic',
998
      'width' => 1,
999
    },
1000
    'to_register10_dout_net' => {
1001
      'hdlType' => 'std_logic',
1002
      'width' => 1,
1003
    },
1004
    'to_register11_dout_net' => {
1005
      'hdlType' => 'std_logic_vector(31 downto 0)',
1006
      'width' => 32,
1007
    },
1008
    'to_register12_dout_net' => {
1009
      'hdlType' => 'std_logic',
1010
      'width' => 1,
1011
    },
1012
    'to_register13_dout_net' => {
1013
      'hdlType' => 'std_logic_vector(31 downto 0)',
1014
      'width' => 32,
1015
    },
1016
    'to_register14_dout_net' => {
1017
      'hdlType' => 'std_logic',
1018
      'width' => 1,
1019
    },
1020
    'to_register15_dout_net' => {
1021
      'hdlType' => 'std_logic_vector(31 downto 0)',
1022
      'width' => 32,
1023
    },
1024
    'to_register16_dout_net' => {
1025
      'hdlType' => 'std_logic',
1026
      'width' => 1,
1027
    },
1028
    'to_register17_dout_net' => {
1029
      'hdlType' => 'std_logic_vector(31 downto 0)',
1030
      'width' => 32,
1031
    },
1032
    'to_register18_dout_net' => {
1033
      'hdlType' => 'std_logic',
1034
      'width' => 1,
1035
    },
1036
    'to_register19_dout_net' => {
1037
      'hdlType' => 'std_logic',
1038
      'width' => 1,
1039
    },
1040
    'to_register1_dout_net' => {
1041
      'hdlType' => 'std_logic_vector(31 downto 0)',
1042
      'width' => 32,
1043
    },
1044
    'to_register20_dout_net' => {
1045
      'hdlType' => 'std_logic_vector(31 downto 0)',
1046
      'width' => 32,
1047
    },
1048
    'to_register21_dout_net' => {
1049
      'hdlType' => 'std_logic',
1050
      'width' => 1,
1051
    },
1052
    'to_register22_dout_net' => {
1053
      'hdlType' => 'std_logic_vector(31 downto 0)',
1054
      'width' => 32,
1055
    },
1056
    'to_register23_dout_net' => {
1057
      'hdlType' => 'std_logic',
1058
      'width' => 1,
1059
    },
1060
    'to_register24_dout_net' => {
1061
      'hdlType' => 'std_logic_vector(31 downto 0)',
1062
      'width' => 32,
1063
    },
1064
    'to_register25_dout_net' => {
1065
      'hdlType' => 'std_logic',
1066
      'width' => 1,
1067
    },
1068
    'to_register26_dout_net' => {
1069
      'hdlType' => 'std_logic_vector(31 downto 0)',
1070
      'width' => 32,
1071
    },
1072
    'to_register27_dout_net' => {
1073
      'hdlType' => 'std_logic',
1074
      'width' => 1,
1075
    },
1076
    'to_register28_dout_net' => {
1077
      'hdlType' => 'std_logic_vector(31 downto 0)',
1078
      'width' => 32,
1079
    },
1080
    'to_register29_dout_net' => {
1081
      'hdlType' => 'std_logic',
1082
      'width' => 1,
1083
    },
1084
    'to_register2_dout_net' => {
1085
      'hdlType' => 'std_logic_vector(31 downto 0)',
1086
      'width' => 32,
1087
    },
1088
    'to_register30_dout_net' => {
1089
      'hdlType' => 'std_logic_vector(31 downto 0)',
1090
      'width' => 32,
1091
    },
1092
    'to_register31_dout_net' => {
1093
      'hdlType' => 'std_logic',
1094
      'width' => 1,
1095
    },
1096
    'to_register32_dout_net' => {
1097
      'hdlType' => 'std_logic_vector(31 downto 0)',
1098
      'width' => 32,
1099
    },
1100
    'to_register33_dout_net' => {
1101
      'hdlType' => 'std_logic',
1102
      'width' => 1,
1103
    },
1104
    'to_register34_dout_net' => {
1105
      'hdlType' => 'std_logic_vector(31 downto 0)',
1106
      'width' => 32,
1107
    },
1108
    'to_register3_dout_net' => {
1109
      'hdlType' => 'std_logic',
1110
      'width' => 1,
1111
    },
1112
    'to_register4_dout_net' => {
1113
      'hdlType' => 'std_logic',
1114
      'width' => 1,
1115
    },
1116
    'to_register5_dout_net' => {
1117
      'hdlType' => 'std_logic_vector(31 downto 0)',
1118
      'width' => 32,
1119
    },
1120
    'to_register6_dout_net' => {
1121
      'hdlType' => 'std_logic_vector(31 downto 0)',
1122
      'width' => 32,
1123
    },
1124
    'to_register7_dout_net' => {
1125
      'hdlType' => 'std_logic_vector(31 downto 0)',
1126
      'width' => 32,
1127
    },
1128
    'to_register8_dout_net' => {
1129
      'hdlType' => 'std_logic',
1130
      'width' => 1,
1131
    },
1132
    'to_register9_dout_net' => {
1133
      'hdlType' => 'std_logic_vector(31 downto 0)',
1134
      'width' => 32,
1135
    },
1136
  },
1137
  'subblocks' => {
1138
    'debug_in_1i' => {
1139
      'connections' => {
1140
        'debug_in_1i' => 'debug_in_1i_net',
1141
      },
1142
      'entity' => {
1143
        'attributes' => {
1144
          'isGateway' => 1,
1145
          'is_floating_block' => 1,
1146
        },
1147
        'entityName' => 'debug_in_1i',
1148
        'ports' => {
1149
          'debug_in_1i' => {
1150
            'attributes' => {
1151
              'bin_pt' => 0,
1152
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
1153
              'is_floating_block' => 1,
1154
              'is_gateway_port' => 1,
1155
              'must_be_hdl_vector' => 1,
1156
              'period' => 1,
1157
              'port_id' => 0,
1158
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i/debug_in_1i',
1159
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i',
1160
              'timingConstraint' => 'none',
1161
              'type' => 'UFix_32_0',
1162
            },
1163
            'direction' => 'out',
1164
            'hdlType' => 'std_logic_vector(31 downto 0)',
1165
            'width' => 32,
1166
          },
1167
        },
1168
      },
1169
      'entityName' => 'debug_in_1i',
1170
    },
1171
    'debug_in_2i' => {
1172
      'connections' => {
1173
        'debug_in_2i' => 'debug_in_2i_net',
1174
      },
1175
      'entity' => {
1176
        'attributes' => {
1177
          'isGateway' => 1,
1178
          'is_floating_block' => 1,
1179
        },
1180
        'entityName' => 'debug_in_2i',
1181
        'ports' => {
1182
          'debug_in_2i' => {
1183
            'attributes' => {
1184
              'bin_pt' => 0,
1185
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
1186
              'is_floating_block' => 1,
1187
              'is_gateway_port' => 1,
1188
              'must_be_hdl_vector' => 1,
1189
              'period' => 1,
1190
              'port_id' => 0,
1191
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i/debug_in_2i',
1192
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i',
1193
              'timingConstraint' => 'none',
1194
              'type' => 'UFix_32_0',
1195
            },
1196
            'direction' => 'out',
1197
            'hdlType' => 'std_logic_vector(31 downto 0)',
1198
            'width' => 32,
1199
          },
1200
        },
1201
      },
1202
      'entityName' => 'debug_in_2i',
1203
    },
1204
    'debug_in_3i' => {
1205
      'connections' => {
1206
        'debug_in_3i' => 'debug_in_3i_net',
1207
      },
1208
      'entity' => {
1209
        'attributes' => {
1210
          'isGateway' => 1,
1211
          'is_floating_block' => 1,
1212
        },
1213
        'entityName' => 'debug_in_3i',
1214
        'ports' => {
1215
          'debug_in_3i' => {
1216
            'attributes' => {
1217
              'bin_pt' => 0,
1218
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
1219
              'is_floating_block' => 1,
1220
              'is_gateway_port' => 1,
1221
              'must_be_hdl_vector' => 1,
1222
              'period' => 1,
1223
              'port_id' => 0,
1224
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i/debug_in_3i',
1225
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i',
1226
              'timingConstraint' => 'none',
1227
              'type' => 'UFix_32_0',
1228
            },
1229
            'direction' => 'out',
1230
            'hdlType' => 'std_logic_vector(31 downto 0)',
1231
            'width' => 32,
1232
          },
1233
        },
1234
      },
1235
      'entityName' => 'debug_in_3i',
1236
    },
1237
    'debug_in_4i' => {
1238
      'connections' => {
1239
        'debug_in_4i' => 'debug_in_4i_net',
1240
      },
1241
      'entity' => {
1242
        'attributes' => {
1243
          'isGateway' => 1,
1244
          'is_floating_block' => 1,
1245
        },
1246
        'entityName' => 'debug_in_4i',
1247
        'ports' => {
1248
          'debug_in_4i' => {
1249
            'attributes' => {
1250
              'bin_pt' => 0,
1251
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
1252
              'is_floating_block' => 1,
1253
              'is_gateway_port' => 1,
1254
              'must_be_hdl_vector' => 1,
1255
              'period' => 1,
1256
              'port_id' => 0,
1257
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i/debug_in_4i',
1258
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i',
1259
              'timingConstraint' => 'none',
1260
              'type' => 'UFix_32_0',
1261
            },
1262
            'direction' => 'out',
1263
            'hdlType' => 'std_logic_vector(31 downto 0)',
1264
            'width' => 32,
1265
          },
1266
        },
1267
      },
1268
      'entityName' => 'debug_in_4i',
1269
    },
1270
    'default_clock_driver' => {
1271
      'connections' => {
1272
        'ce_1' => 'ce_1_sg',
1273
        'clk_1' => 'clk_1_sg',
1274
      },
1275
      'entity' => {
1276
        'attributes' => {
1277
          'domain' => 'default',
1278
          'isClkDriver' => 1,
1279
        },
1280
        'entityName' => 'default_clock_driver',
1281
        'ports' => {
1282
          'ce_1' => {
1283
            'attributes' => {
1284
              'domain' => 'default',
1285
              'group' => 1,
1286
              'isCe' => 1,
1287
              'period' => 1,
1288
              'type' => 'logic',
1289
            },
1290
            'direction' => 'out',
1291
            'hdlType' => 'std_logic',
1292
            'width' => 1,
1293
          },
1294
          'clk_1' => {
1295
            'attributes' => {
1296
              'domain' => 'default',
1297
              'group' => 1,
1298
              'isClk' => 1,
1299
              'period' => 1,
1300
              'type' => 'logic',
1301
            },
1302
            'direction' => 'out',
1303
            'hdlType' => 'std_logic',
1304
            'width' => 1,
1305
          },
1306
        },
1307
      },
1308
      'entityName' => 'default_clock_driver',
1309
    },
1310
    'dma_host2board_busy' => {
1311
      'connections' => {
1312
        'dma_host2board_busy' => 'dma_host2board_busy_net',
1313
      },
1314
      'entity' => {
1315
        'attributes' => {
1316
          'isGateway' => 1,
1317
          'is_floating_block' => 1,
1318
        },
1319
        'entityName' => 'dma_host2board_busy',
1320
        'ports' => {
1321
          'dma_host2board_busy' => {
1322
            'attributes' => {
1323
              'bin_pt' => 0,
1324
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
1325
              'is_floating_block' => 1,
1326
              'is_gateway_port' => 1,
1327
              'must_be_hdl_vector' => 1,
1328
              'period' => 1,
1329
              'port_id' => 0,
1330
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy/DMA_Host2Board_Busy',
1331
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy',
1332
              'timingConstraint' => 'none',
1333
              'type' => 'UFix_1_0',
1334
            },
1335
            'direction' => 'out',
1336
            'hdlType' => 'std_logic',
1337
            'width' => 1,
1338
          },
1339
        },
1340
      },
1341
      'entityName' => 'dma_host2board_busy',
1342
    },
1343
    'dma_host2board_done' => {
1344
      'connections' => {
1345
        'dma_host2board_done' => 'dma_host2board_done_net',
1346
      },
1347
      'entity' => {
1348
        'attributes' => {
1349
          'isGateway' => 1,
1350
          'is_floating_block' => 1,
1351
        },
1352
        'entityName' => 'dma_host2board_done',
1353
        'ports' => {
1354
          'dma_host2board_done' => {
1355
            'attributes' => {
1356
              'bin_pt' => 0,
1357
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
1358
              'is_floating_block' => 1,
1359
              'is_gateway_port' => 1,
1360
              'must_be_hdl_vector' => 1,
1361
              'period' => 1,
1362
              'port_id' => 0,
1363
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done/DMA_Host2Board_Done',
1364
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done',
1365
              'timingConstraint' => 'none',
1366
              'type' => 'UFix_1_0',
1367
            },
1368
            'direction' => 'out',
1369
            'hdlType' => 'std_logic',
1370
            'width' => 1,
1371
          },
1372
        },
1373
      },
1374
      'entityName' => 'dma_host2board_done',
1375
    },
1376
    'from_register1' => {
1377
      'connections' => {
1378
        'data_out' => 'from_register1_data_out_net',
1379
      },
1380
      'entity' => {
1381
        'attributes' => {
1382
          'generics' => [
1383
          ],
1384
          'is_floating_block' => 1,
1385
          'mask' => {
1386
            'Block_Handle' => 2090.00048828125,
1387
            'Block_handle' => 2090.00048828125,
1388
            'MDL_Handle' => 2083.00048828125,
1389
            'MDL_handle' => 2083.00048828125,
1390
            'arith_type' => 2,
1391
            'bin_pt' => 0,
1392
            'block_config' => 'sysgen_blockset:fromreg_config',
1393
            'block_handle' => 2090.00048828125,
1394
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1395
            'block_type' => 'fromreg',
1396
            'dbl_ovrd' => 0,
1397
            'gui_display_data_type' => 1,
1398
            'init' => 0,
1399
            'init_bit_vector' => '\'b0',
1400
            'mdl_handle' => 2083.00048828125,
1401
            'model_handle' => 2083.00048828125,
1402
            'n_bits' => 1,
1403
            'ownership' => 2,
1404
            'period' => '8e-009',
1405
            'preci_type' => 1,
1406
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1407
            'shared_memory_name' => 'register01rv',
1408
          },
1409
          'needs_vhdl_wrapper' => 0,
1410
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1411
        },
1412
        'entityName' => 'x_x61',
1413
        'ports' => {
1414
          'data_out' => {
1415
            'attributes' => {
1416
              'bin_pt' => 0,
1417
              'is_floating_block' => 1,
1418
              'must_be_hdl_vector' => 1,
1419
              'period' => 1,
1420
              'port_id' => 0,
1421
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1/data_out',
1422
              'type' => 'UFix_1_0',
1423
            },
1424
            'direction' => 'out',
1425
            'hdlType' => 'std_logic_vector(0 downto 0)',
1426
            'width' => 1,
1427
          },
1428
        },
1429
      },
1430
      'entityName' => 'x_x61',
1431
    },
1432
    'from_register10' => {
1433
      'connections' => {
1434
        'data_out' => 'from_register10_data_out_net',
1435
      },
1436
      'entity' => {
1437
        'attributes' => {
1438
          'generics' => [
1439
          ],
1440
          'is_floating_block' => 1,
1441
          'mask' => {
1442
            'Block_Handle' => 2091.00048828125,
1443
            'Block_handle' => 2091.00048828125,
1444
            'MDL_Handle' => 2083.00048828125,
1445
            'MDL_handle' => 2083.00048828125,
1446
            'arith_type' => 2,
1447
            'bin_pt' => 0,
1448
            'block_config' => 'sysgen_blockset:fromreg_config',
1449
            'block_handle' => 2091.00048828125,
1450
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1451
            'block_type' => 'fromreg',
1452
            'dbl_ovrd' => 0,
1453
            'gui_display_data_type' => 1,
1454
            'init' => 0,
1455
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1456
            'mdl_handle' => 2083.00048828125,
1457
            'model_handle' => 2083.00048828125,
1458
            'n_bits' => 32,
1459
            'ownership' => 2,
1460
            'period' => '8e-009',
1461
            'preci_type' => 1,
1462
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1463
            'shared_memory_name' => 'register05rd',
1464
          },
1465
          'needs_vhdl_wrapper' => 0,
1466
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1467
        },
1468
        'entityName' => 'x_x62',
1469
        'ports' => {
1470
          'data_out' => {
1471
            'attributes' => {
1472
              'bin_pt' => 0,
1473
              'is_floating_block' => 1,
1474
              'must_be_hdl_vector' => 1,
1475
              'period' => 1,
1476
              'port_id' => 0,
1477
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10/data_out',
1478
              'type' => 'UFix_32_0',
1479
            },
1480
            'direction' => 'out',
1481
            'hdlType' => 'std_logic_vector(31 downto 0)',
1482
            'width' => 32,
1483
          },
1484
        },
1485
      },
1486
      'entityName' => 'x_x62',
1487
    },
1488
    'from_register11' => {
1489
      'connections' => {
1490
        'data_out' => 'from_register11_data_out_net',
1491
      },
1492
      'entity' => {
1493
        'attributes' => {
1494
          'generics' => [
1495
          ],
1496
          'is_floating_block' => 1,
1497
          'mask' => {
1498
            'Block_Handle' => 2092.00048828125,
1499
            'Block_handle' => 2092.00048828125,
1500
            'MDL_Handle' => 2083.00048828125,
1501
            'MDL_handle' => 2083.00048828125,
1502
            'arith_type' => 2,
1503
            'bin_pt' => 0,
1504
            'block_config' => 'sysgen_blockset:fromreg_config',
1505
            'block_handle' => 2092.00048828125,
1506
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1507
            'block_type' => 'fromreg',
1508
            'dbl_ovrd' => 0,
1509
            'gui_display_data_type' => 1,
1510
            'init' => 0,
1511
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1512
            'mdl_handle' => 2083.00048828125,
1513
            'model_handle' => 2083.00048828125,
1514
            'n_bits' => 32,
1515
            'ownership' => 2,
1516
            'period' => '8e-009',
1517
            'preci_type' => 1,
1518
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1519
            'shared_memory_name' => 'register06rd',
1520
          },
1521
          'needs_vhdl_wrapper' => 0,
1522
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1523
        },
1524
        'entityName' => 'x_x63',
1525
        'ports' => {
1526
          'data_out' => {
1527
            'attributes' => {
1528
              'bin_pt' => 0,
1529
              'is_floating_block' => 1,
1530
              'must_be_hdl_vector' => 1,
1531
              'period' => 1,
1532
              'port_id' => 0,
1533
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11/data_out',
1534
              'type' => 'UFix_32_0',
1535
            },
1536
            'direction' => 'out',
1537
            'hdlType' => 'std_logic_vector(31 downto 0)',
1538
            'width' => 32,
1539
          },
1540
        },
1541
      },
1542
      'entityName' => 'x_x63',
1543
    },
1544
    'from_register12' => {
1545
      'connections' => {
1546
        'data_out' => 'from_register12_data_out_net',
1547
      },
1548
      'entity' => {
1549
        'attributes' => {
1550
          'generics' => [
1551
          ],
1552
          'is_floating_block' => 1,
1553
          'mask' => {
1554
            'Block_Handle' => 2093.00048828125,
1555
            'Block_handle' => 2093.00048828125,
1556
            'MDL_Handle' => 2083.00048828125,
1557
            'MDL_handle' => 2083.00048828125,
1558
            'arith_type' => 2,
1559
            'bin_pt' => 0,
1560
            'block_config' => 'sysgen_blockset:fromreg_config',
1561
            'block_handle' => 2093.00048828125,
1562
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1563
            'block_type' => 'fromreg',
1564
            'dbl_ovrd' => 0,
1565
            'gui_display_data_type' => 1,
1566
            'init' => 0,
1567
            'init_bit_vector' => '\'b0',
1568
            'mdl_handle' => 2083.00048828125,
1569
            'model_handle' => 2083.00048828125,
1570
            'n_bits' => 1,
1571
            'ownership' => 2,
1572
            'period' => '8e-009',
1573
            'preci_type' => 1,
1574
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1575
            'shared_memory_name' => 'register06rv',
1576
          },
1577
          'needs_vhdl_wrapper' => 0,
1578
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1579
        },
1580
        'entityName' => 'x_x64',
1581
        'ports' => {
1582
          'data_out' => {
1583
            'attributes' => {
1584
              'bin_pt' => 0,
1585
              'is_floating_block' => 1,
1586
              'must_be_hdl_vector' => 1,
1587
              'period' => 1,
1588
              'port_id' => 0,
1589
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12/data_out',
1590
              'type' => 'UFix_1_0',
1591
            },
1592
            'direction' => 'out',
1593
            'hdlType' => 'std_logic_vector(0 downto 0)',
1594
            'width' => 1,
1595
          },
1596
        },
1597
      },
1598
      'entityName' => 'x_x64',
1599
    },
1600
    'from_register13' => {
1601
      'connections' => {
1602
        'data_out' => 'from_register13_data_out_net',
1603
      },
1604
      'entity' => {
1605
        'attributes' => {
1606
          'generics' => [
1607
          ],
1608
          'is_floating_block' => 1,
1609
          'mask' => {
1610
            'Block_Handle' => 2094.00048828125,
1611
            'Block_handle' => 2094.00048828125,
1612
            'MDL_Handle' => 2083.00048828125,
1613
            'MDL_handle' => 2083.00048828125,
1614
            'arith_type' => 2,
1615
            'bin_pt' => 0,
1616
            'block_config' => 'sysgen_blockset:fromreg_config',
1617
            'block_handle' => 2094.00048828125,
1618
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1619
            'block_type' => 'fromreg',
1620
            'dbl_ovrd' => 0,
1621
            'gui_display_data_type' => 1,
1622
            'init' => 0,
1623
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1624
            'mdl_handle' => 2083.00048828125,
1625
            'model_handle' => 2083.00048828125,
1626
            'n_bits' => 32,
1627
            'ownership' => 2,
1628
            'period' => '8e-009',
1629
            'preci_type' => 1,
1630
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1631
            'shared_memory_name' => 'register07rd',
1632
          },
1633
          'needs_vhdl_wrapper' => 0,
1634
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1635
        },
1636
        'entityName' => 'x_x65',
1637
        'ports' => {
1638
          'data_out' => {
1639
            'attributes' => {
1640
              'bin_pt' => 0,
1641
              'is_floating_block' => 1,
1642
              'must_be_hdl_vector' => 1,
1643
              'period' => 1,
1644
              'port_id' => 0,
1645
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13/data_out',
1646
              'type' => 'UFix_32_0',
1647
            },
1648
            'direction' => 'out',
1649
            'hdlType' => 'std_logic_vector(31 downto 0)',
1650
            'width' => 32,
1651
          },
1652
        },
1653
      },
1654
      'entityName' => 'x_x65',
1655
    },
1656
    'from_register14' => {
1657
      'connections' => {
1658
        'data_out' => 'from_register14_data_out_net',
1659
      },
1660
      'entity' => {
1661
        'attributes' => {
1662
          'generics' => [
1663
          ],
1664
          'is_floating_block' => 1,
1665
          'mask' => {
1666
            'Block_Handle' => 2095.00048828125,
1667
            'Block_handle' => 2095.00048828125,
1668
            'MDL_Handle' => 2083.00048828125,
1669
            'MDL_handle' => 2083.00048828125,
1670
            'arith_type' => 2,
1671
            'bin_pt' => 0,
1672
            'block_config' => 'sysgen_blockset:fromreg_config',
1673
            'block_handle' => 2095.00048828125,
1674
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1675
            'block_type' => 'fromreg',
1676
            'dbl_ovrd' => 0,
1677
            'gui_display_data_type' => 1,
1678
            'init' => 0,
1679
            'init_bit_vector' => '\'b0',
1680
            'mdl_handle' => 2083.00048828125,
1681
            'model_handle' => 2083.00048828125,
1682
            'n_bits' => 1,
1683
            'ownership' => 2,
1684
            'period' => '8e-009',
1685
            'preci_type' => 1,
1686
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1687
            'shared_memory_name' => 'register07rv',
1688
          },
1689
          'needs_vhdl_wrapper' => 0,
1690
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1691
        },
1692
        'entityName' => 'x_x66',
1693
        'ports' => {
1694
          'data_out' => {
1695
            'attributes' => {
1696
              'bin_pt' => 0,
1697
              'is_floating_block' => 1,
1698
              'must_be_hdl_vector' => 1,
1699
              'period' => 1,
1700
              'port_id' => 0,
1701
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14/data_out',
1702
              'type' => 'UFix_1_0',
1703
            },
1704
            'direction' => 'out',
1705
            'hdlType' => 'std_logic_vector(0 downto 0)',
1706
            'width' => 1,
1707
          },
1708
        },
1709
      },
1710
      'entityName' => 'x_x66',
1711
    },
1712
    'from_register15' => {
1713
      'connections' => {
1714
        'data_out' => 'from_register15_data_out_net',
1715
      },
1716
      'entity' => {
1717
        'attributes' => {
1718
          'generics' => [
1719
          ],
1720
          'is_floating_block' => 1,
1721
          'mask' => {
1722
            'Block_Handle' => 2096.00048828125,
1723
            'Block_handle' => 2096.00048828125,
1724
            'MDL_Handle' => 2083.00048828125,
1725
            'MDL_handle' => 2083.00048828125,
1726
            'arith_type' => 2,
1727
            'bin_pt' => 0,
1728
            'block_config' => 'sysgen_blockset:fromreg_config',
1729
            'block_handle' => 2096.00048828125,
1730
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1731
            'block_type' => 'fromreg',
1732
            'dbl_ovrd' => 0,
1733
            'gui_display_data_type' => 1,
1734
            'init' => 0,
1735
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1736
            'mdl_handle' => 2083.00048828125,
1737
            'model_handle' => 2083.00048828125,
1738
            'n_bits' => 32,
1739
            'ownership' => 2,
1740
            'period' => '8e-009',
1741
            'preci_type' => 1,
1742
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1743
            'shared_memory_name' => 'register08rd',
1744
          },
1745
          'needs_vhdl_wrapper' => 0,
1746
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1747
        },
1748
        'entityName' => 'x_x67',
1749
        'ports' => {
1750
          'data_out' => {
1751
            'attributes' => {
1752
              'bin_pt' => 0,
1753
              'is_floating_block' => 1,
1754
              'must_be_hdl_vector' => 1,
1755
              'period' => 1,
1756
              'port_id' => 0,
1757
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15/data_out',
1758
              'type' => 'UFix_32_0',
1759
            },
1760
            'direction' => 'out',
1761
            'hdlType' => 'std_logic_vector(31 downto 0)',
1762
            'width' => 32,
1763
          },
1764
        },
1765
      },
1766
      'entityName' => 'x_x67',
1767
    },
1768
    'from_register16' => {
1769
      'connections' => {
1770
        'data_out' => 'from_register16_data_out_net',
1771
      },
1772
      'entity' => {
1773
        'attributes' => {
1774
          'generics' => [
1775
          ],
1776
          'is_floating_block' => 1,
1777
          'mask' => {
1778
            'Block_Handle' => 2097.00048828125,
1779
            'Block_handle' => 2097.00048828125,
1780
            'MDL_Handle' => 2083.00048828125,
1781
            'MDL_handle' => 2083.00048828125,
1782
            'arith_type' => 2,
1783
            'bin_pt' => 0,
1784
            'block_config' => 'sysgen_blockset:fromreg_config',
1785
            'block_handle' => 2097.00048828125,
1786
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1787
            'block_type' => 'fromreg',
1788
            'dbl_ovrd' => 0,
1789
            'gui_display_data_type' => 1,
1790
            'init' => 0,
1791
            'init_bit_vector' => '\'b0',
1792
            'mdl_handle' => 2083.00048828125,
1793
            'model_handle' => 2083.00048828125,
1794
            'n_bits' => 1,
1795
            'ownership' => 2,
1796
            'period' => '8e-009',
1797
            'preci_type' => 1,
1798
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1799
            'shared_memory_name' => 'register08rv',
1800
          },
1801
          'needs_vhdl_wrapper' => 0,
1802
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1803
        },
1804
        'entityName' => 'x_x68',
1805
        'ports' => {
1806
          'data_out' => {
1807
            'attributes' => {
1808
              'bin_pt' => 0,
1809
              'is_floating_block' => 1,
1810
              'must_be_hdl_vector' => 1,
1811
              'period' => 1,
1812
              'port_id' => 0,
1813
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16/data_out',
1814
              'type' => 'UFix_1_0',
1815
            },
1816
            'direction' => 'out',
1817
            'hdlType' => 'std_logic_vector(0 downto 0)',
1818
            'width' => 1,
1819
          },
1820
        },
1821
      },
1822
      'entityName' => 'x_x68',
1823
    },
1824
    'from_register17' => {
1825
      'connections' => {
1826
        'data_out' => 'from_register17_data_out_net',
1827
      },
1828
      'entity' => {
1829
        'attributes' => {
1830
          'generics' => [
1831
          ],
1832
          'is_floating_block' => 1,
1833
          'mask' => {
1834
            'Block_Handle' => 2098.00048828125,
1835
            'Block_handle' => 2098.00048828125,
1836
            'MDL_Handle' => 2083.00048828125,
1837
            'MDL_handle' => 2083.00048828125,
1838
            'arith_type' => 2,
1839
            'bin_pt' => 0,
1840
            'block_config' => 'sysgen_blockset:fromreg_config',
1841
            'block_handle' => 2098.00048828125,
1842
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1843
            'block_type' => 'fromreg',
1844
            'dbl_ovrd' => 0,
1845
            'gui_display_data_type' => 1,
1846
            'init' => 0,
1847
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1848
            'mdl_handle' => 2083.00048828125,
1849
            'model_handle' => 2083.00048828125,
1850
            'n_bits' => 32,
1851
            'ownership' => 2,
1852
            'period' => '8e-009',
1853
            'preci_type' => 1,
1854
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1855
            'shared_memory_name' => 'register09rd',
1856
          },
1857
          'needs_vhdl_wrapper' => 0,
1858
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1859
        },
1860
        'entityName' => 'x_x69',
1861
        'ports' => {
1862
          'data_out' => {
1863
            'attributes' => {
1864
              'bin_pt' => 0,
1865
              'is_floating_block' => 1,
1866
              'must_be_hdl_vector' => 1,
1867
              'period' => 1,
1868
              'port_id' => 0,
1869
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17/data_out',
1870
              'type' => 'UFix_32_0',
1871
            },
1872
            'direction' => 'out',
1873
            'hdlType' => 'std_logic_vector(31 downto 0)',
1874
            'width' => 32,
1875
          },
1876
        },
1877
      },
1878
      'entityName' => 'x_x69',
1879
    },
1880
    'from_register18' => {
1881
      'connections' => {
1882
        'data_out' => 'from_register18_data_out_net',
1883
      },
1884
      'entity' => {
1885
        'attributes' => {
1886
          'generics' => [
1887
          ],
1888
          'is_floating_block' => 1,
1889
          'mask' => {
1890
            'Block_Handle' => 2099.00048828125,
1891
            'Block_handle' => 2099.00048828125,
1892
            'MDL_Handle' => 2083.00048828125,
1893
            'MDL_handle' => 2083.00048828125,
1894
            'arith_type' => 2,
1895
            'bin_pt' => 0,
1896
            'block_config' => 'sysgen_blockset:fromreg_config',
1897
            'block_handle' => 2099.00048828125,
1898
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1899
            'block_type' => 'fromreg',
1900
            'dbl_ovrd' => 0,
1901
            'gui_display_data_type' => 1,
1902
            'init' => 0,
1903
            'init_bit_vector' => '\'b0',
1904
            'mdl_handle' => 2083.00048828125,
1905
            'model_handle' => 2083.00048828125,
1906
            'n_bits' => 1,
1907
            'ownership' => 2,
1908
            'period' => '8e-009',
1909
            'preci_type' => 1,
1910
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1911
            'shared_memory_name' => 'register09rv',
1912
          },
1913
          'needs_vhdl_wrapper' => 0,
1914
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1915
        },
1916
        'entityName' => 'x_x70',
1917
        'ports' => {
1918
          'data_out' => {
1919
            'attributes' => {
1920
              'bin_pt' => 0,
1921
              'is_floating_block' => 1,
1922
              'must_be_hdl_vector' => 1,
1923
              'period' => 1,
1924
              'port_id' => 0,
1925
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18/data_out',
1926
              'type' => 'UFix_1_0',
1927
            },
1928
            'direction' => 'out',
1929
            'hdlType' => 'std_logic_vector(0 downto 0)',
1930
            'width' => 1,
1931
          },
1932
        },
1933
      },
1934
      'entityName' => 'x_x70',
1935
    },
1936
    'from_register19' => {
1937
      'connections' => {
1938
        'data_out' => 'from_register19_data_out_net',
1939
      },
1940
      'entity' => {
1941
        'attributes' => {
1942
          'generics' => [
1943
          ],
1944
          'is_floating_block' => 1,
1945
          'mask' => {
1946
            'Block_Handle' => 2100.00048828125,
1947
            'Block_handle' => 2100.00048828125,
1948
            'MDL_Handle' => 2083.00048828125,
1949
            'MDL_handle' => 2083.00048828125,
1950
            'arith_type' => 2,
1951
            'bin_pt' => 0,
1952
            'block_config' => 'sysgen_blockset:fromreg_config',
1953
            'block_handle' => 2100.00048828125,
1954
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1955
            'block_type' => 'fromreg',
1956
            'dbl_ovrd' => 0,
1957
            'gui_display_data_type' => 1,
1958
            'init' => 0,
1959
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1960
            'mdl_handle' => 2083.00048828125,
1961
            'model_handle' => 2083.00048828125,
1962
            'n_bits' => 32,
1963
            'ownership' => 2,
1964
            'period' => '8e-009',
1965
            'preci_type' => 1,
1966
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1967
            'shared_memory_name' => 'register10rd',
1968
          },
1969
          'needs_vhdl_wrapper' => 0,
1970
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1971
        },
1972
        'entityName' => 'x_x71',
1973
        'ports' => {
1974
          'data_out' => {
1975
            'attributes' => {
1976
              'bin_pt' => 0,
1977
              'is_floating_block' => 1,
1978
              'must_be_hdl_vector' => 1,
1979
              'period' => 1,
1980
              'port_id' => 0,
1981
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19/data_out',
1982
              'type' => 'UFix_32_0',
1983
            },
1984
            'direction' => 'out',
1985
            'hdlType' => 'std_logic_vector(31 downto 0)',
1986
            'width' => 32,
1987
          },
1988
        },
1989
      },
1990
      'entityName' => 'x_x71',
1991
    },
1992
    'from_register2' => {
1993
      'connections' => {
1994
        'data_out' => 'from_register2_data_out_net',
1995
      },
1996
      'entity' => {
1997
        'attributes' => {
1998
          'generics' => [
1999
          ],
2000
          'is_floating_block' => 1,
2001
          'mask' => {
2002
            'Block_Handle' => 2101.00048828125,
2003
            'Block_handle' => 2101.00048828125,
2004
            'MDL_Handle' => 2083.00048828125,
2005
            'MDL_handle' => 2083.00048828125,
2006
            'arith_type' => 2,
2007
            'bin_pt' => 0,
2008
            'block_config' => 'sysgen_blockset:fromreg_config',
2009
            'block_handle' => 2101.00048828125,
2010
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
2011
            'block_type' => 'fromreg',
2012
            'dbl_ovrd' => 0,
2013
            'gui_display_data_type' => 1,
2014
            'init' => 0,
2015
            'init_bit_vector' => '\'b0',
2016
            'mdl_handle' => 2083.00048828125,
2017
            'model_handle' => 2083.00048828125,
2018
            'n_bits' => 1,
2019
            'ownership' => 2,
2020
            'period' => '8e-009',
2021
            'preci_type' => 1,
2022
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2023
            'shared_memory_name' => 'register02rv',
2024
          },
2025
          'needs_vhdl_wrapper' => 0,
2026
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
2027
        },
2028
        'entityName' => 'x_x72',
2029
        'ports' => {
2030
          'data_out' => {
2031
            'attributes' => {
2032
              'bin_pt' => 0,
2033
              'is_floating_block' => 1,
2034
              'must_be_hdl_vector' => 1,
2035
              'period' => 1,
2036
              'port_id' => 0,
2037
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2/data_out',
2038
              'type' => 'UFix_1_0',
2039
            },
2040
            'direction' => 'out',
2041
            'hdlType' => 'std_logic_vector(0 downto 0)',
2042
            'width' => 1,
2043
          },
2044
        },
2045
      },
2046
      'entityName' => 'x_x72',
2047
    },
2048
    'from_register20' => {
2049
      'connections' => {
2050
        'data_out' => 'from_register20_data_out_net',
2051
      },
2052
      'entity' => {
2053
        'attributes' => {
2054
          'generics' => [
2055
          ],
2056
          'is_floating_block' => 1,
2057
          'mask' => {
2058
            'Block_Handle' => 2102.00048828125,
2059
            'Block_handle' => 2102.00048828125,
2060
            'MDL_Handle' => 2083.00048828125,
2061
            'MDL_handle' => 2083.00048828125,
2062
            'arith_type' => 2,
2063
            'bin_pt' => 0,
2064
            'block_config' => 'sysgen_blockset:fromreg_config',
2065
            'block_handle' => 2102.00048828125,
2066
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2067
            'block_type' => 'fromreg',
2068
            'dbl_ovrd' => 0,
2069
            'gui_display_data_type' => 1,
2070
            'init' => 0,
2071
            'init_bit_vector' => '\'b0',
2072
            'mdl_handle' => 2083.00048828125,
2073
            'model_handle' => 2083.00048828125,
2074
            'n_bits' => 1,
2075
            'ownership' => 2,
2076
            'period' => '8e-009',
2077
            'preci_type' => 1,
2078
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2079
            'shared_memory_name' => 'register10rv',
2080
          },
2081
          'needs_vhdl_wrapper' => 0,
2082
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2083
        },
2084
        'entityName' => 'x_x73',
2085
        'ports' => {
2086
          'data_out' => {
2087
            'attributes' => {
2088
              'bin_pt' => 0,
2089
              'is_floating_block' => 1,
2090
              'must_be_hdl_vector' => 1,
2091
              'period' => 1,
2092
              'port_id' => 0,
2093
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20/data_out',
2094
              'type' => 'UFix_1_0',
2095
            },
2096
            'direction' => 'out',
2097
            'hdlType' => 'std_logic_vector(0 downto 0)',
2098
            'width' => 1,
2099
          },
2100
        },
2101
      },
2102
      'entityName' => 'x_x73',
2103
    },
2104
    'from_register21' => {
2105
      'connections' => {
2106
        'data_out' => 'from_register21_data_out_net',
2107
      },
2108
      'entity' => {
2109
        'attributes' => {
2110
          'generics' => [
2111
          ],
2112
          'is_floating_block' => 1,
2113
          'mask' => {
2114
            'Block_Handle' => 2103.00048828125,
2115
            'Block_handle' => 2103.00048828125,
2116
            'MDL_Handle' => 2083.00048828125,
2117
            'MDL_handle' => 2083.00048828125,
2118
            'arith_type' => 2,
2119
            'bin_pt' => 0,
2120
            'block_config' => 'sysgen_blockset:fromreg_config',
2121
            'block_handle' => 2103.00048828125,
2122
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2123
            'block_type' => 'fromreg',
2124
            'dbl_ovrd' => 0,
2125
            'gui_display_data_type' => 1,
2126
            'init' => 0,
2127
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2128
            'mdl_handle' => 2083.00048828125,
2129
            'model_handle' => 2083.00048828125,
2130
            'n_bits' => 32,
2131
            'ownership' => 2,
2132
            'period' => '8e-009',
2133
            'preci_type' => 1,
2134
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2135
            'shared_memory_name' => 'register11rd',
2136
          },
2137
          'needs_vhdl_wrapper' => 0,
2138
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2139
        },
2140
        'entityName' => 'x_x74',
2141
        'ports' => {
2142
          'data_out' => {
2143
            'attributes' => {
2144
              'bin_pt' => 0,
2145
              'is_floating_block' => 1,
2146
              'must_be_hdl_vector' => 1,
2147
              'period' => 1,
2148
              'port_id' => 0,
2149
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21/data_out',
2150
              'type' => 'UFix_32_0',
2151
            },
2152
            'direction' => 'out',
2153
            'hdlType' => 'std_logic_vector(31 downto 0)',
2154
            'width' => 32,
2155
          },
2156
        },
2157
      },
2158
      'entityName' => 'x_x74',
2159
    },
2160
    'from_register22' => {
2161
      'connections' => {
2162
        'data_out' => 'from_register22_data_out_net',
2163
      },
2164
      'entity' => {
2165
        'attributes' => {
2166
          'generics' => [
2167
          ],
2168
          'is_floating_block' => 1,
2169
          'mask' => {
2170
            'Block_Handle' => 2104.00048828125,
2171
            'Block_handle' => 2104.00048828125,
2172
            'MDL_Handle' => 2083.00048828125,
2173
            'MDL_handle' => 2083.00048828125,
2174
            'arith_type' => 2,
2175
            'bin_pt' => 0,
2176
            'block_config' => 'sysgen_blockset:fromreg_config',
2177
            'block_handle' => 2104.00048828125,
2178
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2179
            'block_type' => 'fromreg',
2180
            'dbl_ovrd' => 0,
2181
            'gui_display_data_type' => 1,
2182
            'init' => 0,
2183
            'init_bit_vector' => '\'b0',
2184
            'mdl_handle' => 2083.00048828125,
2185
            'model_handle' => 2083.00048828125,
2186
            'n_bits' => 1,
2187
            'ownership' => 2,
2188
            'period' => '8e-009',
2189
            'preci_type' => 1,
2190
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2191
            'shared_memory_name' => 'register11rv',
2192
          },
2193
          'needs_vhdl_wrapper' => 0,
2194
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2195
        },
2196
        'entityName' => 'x_x75',
2197
        'ports' => {
2198
          'data_out' => {
2199
            'attributes' => {
2200
              'bin_pt' => 0,
2201
              'is_floating_block' => 1,
2202
              'must_be_hdl_vector' => 1,
2203
              'period' => 1,
2204
              'port_id' => 0,
2205
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22/data_out',
2206
              'type' => 'UFix_1_0',
2207
            },
2208
            'direction' => 'out',
2209
            'hdlType' => 'std_logic_vector(0 downto 0)',
2210
            'width' => 1,
2211
          },
2212
        },
2213
      },
2214
      'entityName' => 'x_x75',
2215
    },
2216
    'from_register23' => {
2217
      'connections' => {
2218
        'data_out' => 'from_register23_data_out_net',
2219
      },
2220
      'entity' => {
2221
        'attributes' => {
2222
          'generics' => [
2223
          ],
2224
          'is_floating_block' => 1,
2225
          'mask' => {
2226
            'Block_Handle' => 2105.00048828125,
2227
            'Block_handle' => 2105.00048828125,
2228
            'MDL_Handle' => 2083.00048828125,
2229
            'MDL_handle' => 2083.00048828125,
2230
            'arith_type' => 2,
2231
            'bin_pt' => 0,
2232
            'block_config' => 'sysgen_blockset:fromreg_config',
2233
            'block_handle' => 2105.00048828125,
2234
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2235
            'block_type' => 'fromreg',
2236
            'dbl_ovrd' => 0,
2237
            'gui_display_data_type' => 1,
2238
            'init' => 0,
2239
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2240
            'mdl_handle' => 2083.00048828125,
2241
            'model_handle' => 2083.00048828125,
2242
            'n_bits' => 32,
2243
            'ownership' => 2,
2244
            'period' => '8e-009',
2245
            'preci_type' => 1,
2246
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2247
            'shared_memory_name' => 'register12rd',
2248
          },
2249
          'needs_vhdl_wrapper' => 0,
2250
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2251
        },
2252
        'entityName' => 'x_x76',
2253
        'ports' => {
2254
          'data_out' => {
2255
            'attributes' => {
2256
              'bin_pt' => 0,
2257
              'is_floating_block' => 1,
2258
              'must_be_hdl_vector' => 1,
2259
              'period' => 1,
2260
              'port_id' => 0,
2261
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23/data_out',
2262
              'type' => 'UFix_32_0',
2263
            },
2264
            'direction' => 'out',
2265
            'hdlType' => 'std_logic_vector(31 downto 0)',
2266
            'width' => 32,
2267
          },
2268
        },
2269
      },
2270
      'entityName' => 'x_x76',
2271
    },
2272
    'from_register24' => {
2273
      'connections' => {
2274
        'data_out' => 'from_register24_data_out_net',
2275
      },
2276
      'entity' => {
2277
        'attributes' => {
2278
          'generics' => [
2279
          ],
2280
          'is_floating_block' => 1,
2281
          'mask' => {
2282
            'Block_Handle' => 2106.00048828125,
2283
            'Block_handle' => 2106.00048828125,
2284
            'MDL_Handle' => 2083.00048828125,
2285
            'MDL_handle' => 2083.00048828125,
2286
            'arith_type' => 2,
2287
            'bin_pt' => 0,
2288
            'block_config' => 'sysgen_blockset:fromreg_config',
2289
            'block_handle' => 2106.00048828125,
2290
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2291
            'block_type' => 'fromreg',
2292
            'dbl_ovrd' => 0,
2293
            'gui_display_data_type' => 1,
2294
            'init' => 0,
2295
            'init_bit_vector' => '\'b0',
2296
            'mdl_handle' => 2083.00048828125,
2297
            'model_handle' => 2083.00048828125,
2298
            'n_bits' => 1,
2299
            'ownership' => 2,
2300
            'period' => '8e-009',
2301
            'preci_type' => 1,
2302
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2303
            'shared_memory_name' => 'register12rv',
2304
          },
2305
          'needs_vhdl_wrapper' => 0,
2306
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2307
        },
2308
        'entityName' => 'x_x77',
2309
        'ports' => {
2310
          'data_out' => {
2311
            'attributes' => {
2312
              'bin_pt' => 0,
2313
              'is_floating_block' => 1,
2314
              'must_be_hdl_vector' => 1,
2315
              'period' => 1,
2316
              'port_id' => 0,
2317
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24/data_out',
2318
              'type' => 'UFix_1_0',
2319
            },
2320
            'direction' => 'out',
2321
            'hdlType' => 'std_logic_vector(0 downto 0)',
2322
            'width' => 1,
2323
          },
2324
        },
2325
      },
2326
      'entityName' => 'x_x77',
2327
    },
2328
    'from_register25' => {
2329
      'connections' => {
2330
        'data_out' => 'from_register25_data_out_net',
2331
      },
2332
      'entity' => {
2333
        'attributes' => {
2334
          'generics' => [
2335
          ],
2336
          'is_floating_block' => 1,
2337
          'mask' => {
2338
            'Block_Handle' => 2107.00048828125,
2339
            'Block_handle' => 2107.00048828125,
2340
            'MDL_Handle' => 2083.00048828125,
2341
            'MDL_handle' => 2083.00048828125,
2342
            'arith_type' => 2,
2343
            'bin_pt' => 0,
2344
            'block_config' => 'sysgen_blockset:fromreg_config',
2345
            'block_handle' => 2107.00048828125,
2346
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2347
            'block_type' => 'fromreg',
2348
            'dbl_ovrd' => 0,
2349
            'gui_display_data_type' => 1,
2350
            'init' => 0,
2351
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2352
            'mdl_handle' => 2083.00048828125,
2353
            'model_handle' => 2083.00048828125,
2354
            'n_bits' => 32,
2355
            'ownership' => 2,
2356
            'period' => '8e-009',
2357
            'preci_type' => 1,
2358
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2359
            'shared_memory_name' => 'register13rd',
2360
          },
2361
          'needs_vhdl_wrapper' => 0,
2362
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2363
        },
2364
        'entityName' => 'x_x78',
2365
        'ports' => {
2366
          'data_out' => {
2367
            'attributes' => {
2368
              'bin_pt' => 0,
2369
              'is_floating_block' => 1,
2370
              'must_be_hdl_vector' => 1,
2371
              'period' => 1,
2372
              'port_id' => 0,
2373
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25/data_out',
2374
              'type' => 'UFix_32_0',
2375
            },
2376
            'direction' => 'out',
2377
            'hdlType' => 'std_logic_vector(31 downto 0)',
2378
            'width' => 32,
2379
          },
2380
        },
2381
      },
2382
      'entityName' => 'x_x78',
2383
    },
2384
    'from_register26' => {
2385
      'connections' => {
2386
        'data_out' => 'from_register26_data_out_net',
2387
      },
2388
      'entity' => {
2389
        'attributes' => {
2390
          'generics' => [
2391
          ],
2392
          'is_floating_block' => 1,
2393
          'mask' => {
2394
            'Block_Handle' => 2108.00048828125,
2395
            'Block_handle' => 2108.00048828125,
2396
            'MDL_Handle' => 2083.00048828125,
2397
            'MDL_handle' => 2083.00048828125,
2398
            'arith_type' => 2,
2399
            'bin_pt' => 0,
2400
            'block_config' => 'sysgen_blockset:fromreg_config',
2401
            'block_handle' => 2108.00048828125,
2402
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2403
            'block_type' => 'fromreg',
2404
            'dbl_ovrd' => 0,
2405
            'gui_display_data_type' => 1,
2406
            'init' => 0,
2407
            'init_bit_vector' => '\'b0',
2408
            'mdl_handle' => 2083.00048828125,
2409
            'model_handle' => 2083.00048828125,
2410
            'n_bits' => 1,
2411
            'ownership' => 2,
2412
            'period' => '8e-009',
2413
            'preci_type' => 1,
2414
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2415
            'shared_memory_name' => 'register13rv',
2416
          },
2417
          'needs_vhdl_wrapper' => 0,
2418
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2419
        },
2420
        'entityName' => 'x_x79',
2421
        'ports' => {
2422
          'data_out' => {
2423
            'attributes' => {
2424
              'bin_pt' => 0,
2425
              'is_floating_block' => 1,
2426
              'must_be_hdl_vector' => 1,
2427
              'period' => 1,
2428
              'port_id' => 0,
2429
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26/data_out',
2430
              'type' => 'UFix_1_0',
2431
            },
2432
            'direction' => 'out',
2433
            'hdlType' => 'std_logic_vector(0 downto 0)',
2434
            'width' => 1,
2435
          },
2436
        },
2437
      },
2438
      'entityName' => 'x_x79',
2439
    },
2440
    'from_register27' => {
2441
      'connections' => {
2442
        'data_out' => 'from_register27_data_out_net',
2443
      },
2444
      'entity' => {
2445
        'attributes' => {
2446
          'generics' => [
2447
          ],
2448
          'is_floating_block' => 1,
2449
          'mask' => {
2450
            'Block_Handle' => 2109.00048828125,
2451
            'Block_handle' => 2109.00048828125,
2452
            'MDL_Handle' => 2083.00048828125,
2453
            'MDL_handle' => 2083.00048828125,
2454
            'arith_type' => 2,
2455
            'bin_pt' => 0,
2456
            'block_config' => 'sysgen_blockset:fromreg_config',
2457
            'block_handle' => 2109.00048828125,
2458
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2459
            'block_type' => 'fromreg',
2460
            'dbl_ovrd' => 0,
2461
            'gui_display_data_type' => 1,
2462
            'init' => 0,
2463
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2464
            'mdl_handle' => 2083.00048828125,
2465
            'model_handle' => 2083.00048828125,
2466
            'n_bits' => 32,
2467
            'ownership' => 2,
2468
            'period' => '8e-009',
2469
            'preci_type' => 1,
2470
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2471
            'shared_memory_name' => 'register14rd',
2472
          },
2473
          'needs_vhdl_wrapper' => 0,
2474
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2475
        },
2476
        'entityName' => 'x_x80',
2477
        'ports' => {
2478
          'data_out' => {
2479
            'attributes' => {
2480
              'bin_pt' => 0,
2481
              'is_floating_block' => 1,
2482
              'must_be_hdl_vector' => 1,
2483
              'period' => 1,
2484
              'port_id' => 0,
2485
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27/data_out',
2486
              'type' => 'UFix_32_0',
2487
            },
2488
            'direction' => 'out',
2489
            'hdlType' => 'std_logic_vector(31 downto 0)',
2490
            'width' => 32,
2491
          },
2492
        },
2493
      },
2494
      'entityName' => 'x_x80',
2495
    },
2496
    'from_register28' => {
2497
      'connections' => {
2498
        'data_out' => 'from_register28_data_out_net',
2499
      },
2500
      'entity' => {
2501
        'attributes' => {
2502
          'generics' => [
2503
          ],
2504
          'is_floating_block' => 1,
2505
          'mask' => {
2506
            'Block_Handle' => 2110.00048828125,
2507
            'Block_handle' => 2110.00048828125,
2508
            'MDL_Handle' => 2083.00048828125,
2509
            'MDL_handle' => 2083.00048828125,
2510
            'arith_type' => 2,
2511
            'bin_pt' => 0,
2512
            'block_config' => 'sysgen_blockset:fromreg_config',
2513
            'block_handle' => 2110.00048828125,
2514
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2515
            'block_type' => 'fromreg',
2516
            'dbl_ovrd' => 0,
2517
            'gui_display_data_type' => 1,
2518
            'init' => 0,
2519
            'init_bit_vector' => '\'b0',
2520
            'mdl_handle' => 2083.00048828125,
2521
            'model_handle' => 2083.00048828125,
2522
            'n_bits' => 1,
2523
            'ownership' => 2,
2524
            'period' => '8e-009',
2525
            'preci_type' => 1,
2526
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2527
            'shared_memory_name' => 'register14rv',
2528
          },
2529
          'needs_vhdl_wrapper' => 0,
2530
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2531
        },
2532
        'entityName' => 'x_x81',
2533
        'ports' => {
2534
          'data_out' => {
2535
            'attributes' => {
2536
              'bin_pt' => 0,
2537
              'is_floating_block' => 1,
2538
              'must_be_hdl_vector' => 1,
2539
              'period' => 1,
2540
              'port_id' => 0,
2541
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28/data_out',
2542
              'type' => 'UFix_1_0',
2543
            },
2544
            'direction' => 'out',
2545
            'hdlType' => 'std_logic_vector(0 downto 0)',
2546
            'width' => 1,
2547
          },
2548
        },
2549
      },
2550
      'entityName' => 'x_x81',
2551
    },
2552
    'from_register3' => {
2553
      'connections' => {
2554
        'data_out' => 'from_register3_data_out_net',
2555
      },
2556
      'entity' => {
2557
        'attributes' => {
2558
          'generics' => [
2559
          ],
2560
          'is_floating_block' => 1,
2561
          'mask' => {
2562
            'Block_Handle' => 2111.00048828125,
2563
            'Block_handle' => 2111.00048828125,
2564
            'MDL_Handle' => 2083.00048828125,
2565
            'MDL_handle' => 2083.00048828125,
2566
            'arith_type' => 2,
2567
            'bin_pt' => 0,
2568
            'block_config' => 'sysgen_blockset:fromreg_config',
2569
            'block_handle' => 2111.00048828125,
2570
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2571
            'block_type' => 'fromreg',
2572
            'dbl_ovrd' => 0,
2573
            'gui_display_data_type' => 1,
2574
            'init' => 0,
2575
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2576
            'mdl_handle' => 2083.00048828125,
2577
            'model_handle' => 2083.00048828125,
2578
            'n_bits' => 32,
2579
            'ownership' => 2,
2580
            'period' => '8e-009',
2581
            'preci_type' => 1,
2582
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2583
            'shared_memory_name' => 'register01rd',
2584
          },
2585
          'needs_vhdl_wrapper' => 0,
2586
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2587
        },
2588
        'entityName' => 'x_x82',
2589
        'ports' => {
2590
          'data_out' => {
2591
            'attributes' => {
2592
              'bin_pt' => 0,
2593
              'is_floating_block' => 1,
2594
              'must_be_hdl_vector' => 1,
2595
              'period' => 1,
2596
              'port_id' => 0,
2597
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3/data_out',
2598
              'type' => 'UFix_32_0',
2599
            },
2600
            'direction' => 'out',
2601
            'hdlType' => 'std_logic_vector(31 downto 0)',
2602
            'width' => 32,
2603
          },
2604
        },
2605
      },
2606
      'entityName' => 'x_x82',
2607
    },
2608
    'from_register4' => {
2609
      'connections' => {
2610
        'data_out' => 'from_register4_data_out_net',
2611
      },
2612
      'entity' => {
2613
        'attributes' => {
2614
          'generics' => [
2615
          ],
2616
          'is_floating_block' => 1,
2617
          'mask' => {
2618
            'Block_Handle' => 2112.00048828125,
2619
            'Block_handle' => 2112.00048828125,
2620
            'MDL_Handle' => 2083.00048828125,
2621
            'MDL_handle' => 2083.00048828125,
2622
            'arith_type' => 2,
2623
            'bin_pt' => 0,
2624
            'block_config' => 'sysgen_blockset:fromreg_config',
2625
            'block_handle' => 2112.00048828125,
2626
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2627
            'block_type' => 'fromreg',
2628
            'dbl_ovrd' => 0,
2629
            'gui_display_data_type' => 1,
2630
            'init' => 0,
2631
            'init_bit_vector' => '\'b0',
2632
            'mdl_handle' => 2083.00048828125,
2633
            'model_handle' => 2083.00048828125,
2634
            'n_bits' => 1,
2635
            'ownership' => 2,
2636
            'period' => '8e-009',
2637
            'preci_type' => 1,
2638
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2639
            'shared_memory_name' => 'register04rv',
2640
          },
2641
          'needs_vhdl_wrapper' => 0,
2642
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2643
        },
2644
        'entityName' => 'x_x83',
2645
        'ports' => {
2646
          'data_out' => {
2647
            'attributes' => {
2648
              'bin_pt' => 0,
2649
              'is_floating_block' => 1,
2650
              'must_be_hdl_vector' => 1,
2651
              'period' => 1,
2652
              'port_id' => 0,
2653
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4/data_out',
2654
              'type' => 'UFix_1_0',
2655
            },
2656
            'direction' => 'out',
2657
            'hdlType' => 'std_logic_vector(0 downto 0)',
2658
            'width' => 1,
2659
          },
2660
        },
2661
      },
2662
      'entityName' => 'x_x83',
2663
    },
2664
    'from_register5' => {
2665
      'connections' => {
2666
        'data_out' => 'from_register5_data_out_net',
2667
      },
2668
      'entity' => {
2669
        'attributes' => {
2670
          'generics' => [
2671
          ],
2672
          'is_floating_block' => 1,
2673
          'mask' => {
2674
            'Block_Handle' => 2113.00048828125,
2675
            'Block_handle' => 2113.00048828125,
2676
            'MDL_Handle' => 2083.00048828125,
2677
            'MDL_handle' => 2083.00048828125,
2678
            'arith_type' => 2,
2679
            'bin_pt' => 0,
2680
            'block_config' => 'sysgen_blockset:fromreg_config',
2681
            'block_handle' => 2113.00048828125,
2682
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2683
            'block_type' => 'fromreg',
2684
            'dbl_ovrd' => 0,
2685
            'gui_display_data_type' => 1,
2686
            'init' => 0,
2687
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2688
            'mdl_handle' => 2083.00048828125,
2689
            'model_handle' => 2083.00048828125,
2690
            'n_bits' => 32,
2691
            'ownership' => 2,
2692
            'period' => '8e-009',
2693
            'preci_type' => 1,
2694
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2695
            'shared_memory_name' => 'register02rd',
2696
          },
2697
          'needs_vhdl_wrapper' => 0,
2698
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2699
        },
2700
        'entityName' => 'x_x84',
2701
        'ports' => {
2702
          'data_out' => {
2703
            'attributes' => {
2704
              'bin_pt' => 0,
2705
              'is_floating_block' => 1,
2706
              'must_be_hdl_vector' => 1,
2707
              'period' => 1,
2708
              'port_id' => 0,
2709
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5/data_out',
2710
              'type' => 'UFix_32_0',
2711
            },
2712
            'direction' => 'out',
2713
            'hdlType' => 'std_logic_vector(31 downto 0)',
2714
            'width' => 32,
2715
          },
2716
        },
2717
      },
2718
      'entityName' => 'x_x84',
2719
    },
2720
    'from_register6' => {
2721
      'connections' => {
2722
        'data_out' => 'from_register6_data_out_net',
2723
      },
2724
      'entity' => {
2725
        'attributes' => {
2726
          'generics' => [
2727
          ],
2728
          'is_floating_block' => 1,
2729
          'mask' => {
2730
            'Block_Handle' => 2114.00048828125,
2731
            'Block_handle' => 2114.00048828125,
2732
            'MDL_Handle' => 2083.00048828125,
2733
            'MDL_handle' => 2083.00048828125,
2734
            'arith_type' => 2,
2735
            'bin_pt' => 0,
2736
            'block_config' => 'sysgen_blockset:fromreg_config',
2737
            'block_handle' => 2114.00048828125,
2738
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2739
            'block_type' => 'fromreg',
2740
            'dbl_ovrd' => 0,
2741
            'gui_display_data_type' => 1,
2742
            'init' => 0,
2743
            'init_bit_vector' => '\'b0',
2744
            'mdl_handle' => 2083.00048828125,
2745
            'model_handle' => 2083.00048828125,
2746
            'n_bits' => 1,
2747
            'ownership' => 2,
2748
            'period' => '8e-009',
2749
            'preci_type' => 1,
2750
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2751
            'shared_memory_name' => 'register03rv',
2752
          },
2753
          'needs_vhdl_wrapper' => 0,
2754
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2755
        },
2756
        'entityName' => 'x_x85',
2757
        'ports' => {
2758
          'data_out' => {
2759
            'attributes' => {
2760
              'bin_pt' => 0,
2761
              'is_floating_block' => 1,
2762
              'must_be_hdl_vector' => 1,
2763
              'period' => 1,
2764
              'port_id' => 0,
2765
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6/data_out',
2766
              'type' => 'UFix_1_0',
2767
            },
2768
            'direction' => 'out',
2769
            'hdlType' => 'std_logic_vector(0 downto 0)',
2770
            'width' => 1,
2771
          },
2772
        },
2773
      },
2774
      'entityName' => 'x_x85',
2775
    },
2776
    'from_register7' => {
2777
      'connections' => {
2778
        'data_out' => 'from_register7_data_out_net',
2779
      },
2780
      'entity' => {
2781
        'attributes' => {
2782
          'generics' => [
2783
          ],
2784
          'is_floating_block' => 1,
2785
          'mask' => {
2786
            'Block_Handle' => 2115.00048828125,
2787
            'Block_handle' => 2115.00048828125,
2788
            'MDL_Handle' => 2083.00048828125,
2789
            'MDL_handle' => 2083.00048828125,
2790
            'arith_type' => 2,
2791
            'bin_pt' => 0,
2792
            'block_config' => 'sysgen_blockset:fromreg_config',
2793
            'block_handle' => 2115.00048828125,
2794
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2795
            'block_type' => 'fromreg',
2796
            'dbl_ovrd' => 0,
2797
            'gui_display_data_type' => 1,
2798
            'init' => 0,
2799
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2800
            'mdl_handle' => 2083.00048828125,
2801
            'model_handle' => 2083.00048828125,
2802
            'n_bits' => 32,
2803
            'ownership' => 2,
2804
            'period' => '8e-009',
2805
            'preci_type' => 1,
2806
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2807
            'shared_memory_name' => 'register03rd',
2808
          },
2809
          'needs_vhdl_wrapper' => 0,
2810
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2811
        },
2812
        'entityName' => 'x_x86',
2813
        'ports' => {
2814
          'data_out' => {
2815
            'attributes' => {
2816
              'bin_pt' => 0,
2817
              'is_floating_block' => 1,
2818
              'must_be_hdl_vector' => 1,
2819
              'period' => 1,
2820
              'port_id' => 0,
2821
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7/data_out',
2822
              'type' => 'UFix_32_0',
2823
            },
2824
            'direction' => 'out',
2825
            'hdlType' => 'std_logic_vector(31 downto 0)',
2826
            'width' => 32,
2827
          },
2828
        },
2829
      },
2830
      'entityName' => 'x_x86',
2831
    },
2832
    'from_register8' => {
2833
      'connections' => {
2834
        'data_out' => 'from_register8_data_out_net',
2835
      },
2836
      'entity' => {
2837
        'attributes' => {
2838
          'generics' => [
2839
          ],
2840
          'is_floating_block' => 1,
2841
          'mask' => {
2842
            'Block_Handle' => 2116.00048828125,
2843
            'Block_handle' => 2116.00048828125,
2844
            'MDL_Handle' => 2083.00048828125,
2845
            'MDL_handle' => 2083.00048828125,
2846
            'arith_type' => 2,
2847
            'bin_pt' => 0,
2848
            'block_config' => 'sysgen_blockset:fromreg_config',
2849
            'block_handle' => 2116.00048828125,
2850
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2851
            'block_type' => 'fromreg',
2852
            'dbl_ovrd' => 0,
2853
            'gui_display_data_type' => 1,
2854
            'init' => 0,
2855
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2856
            'mdl_handle' => 2083.00048828125,
2857
            'model_handle' => 2083.00048828125,
2858
            'n_bits' => 32,
2859
            'ownership' => 2,
2860
            'period' => '8e-009',
2861
            'preci_type' => 1,
2862
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2863
            'shared_memory_name' => 'register04rd',
2864
          },
2865
          'needs_vhdl_wrapper' => 0,
2866
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2867
        },
2868
        'entityName' => 'x_x87',
2869
        'ports' => {
2870
          'data_out' => {
2871
            'attributes' => {
2872
              'bin_pt' => 0,
2873
              'is_floating_block' => 1,
2874
              'must_be_hdl_vector' => 1,
2875
              'period' => 1,
2876
              'port_id' => 0,
2877
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8/data_out',
2878
              'type' => 'UFix_32_0',
2879
            },
2880
            'direction' => 'out',
2881
            'hdlType' => 'std_logic_vector(31 downto 0)',
2882
            'width' => 32,
2883
          },
2884
        },
2885
      },
2886
      'entityName' => 'x_x87',
2887
    },
2888
    'from_register9' => {
2889
      'connections' => {
2890
        'data_out' => 'from_register9_data_out_net',
2891
      },
2892
      'entity' => {
2893
        'attributes' => {
2894
          'generics' => [
2895
          ],
2896
          'is_floating_block' => 1,
2897
          'mask' => {
2898
            'Block_Handle' => 2117.00048828125,
2899
            'Block_handle' => 2117.00048828125,
2900
            'MDL_Handle' => 2083.00048828125,
2901
            'MDL_handle' => 2083.00048828125,
2902
            'arith_type' => 2,
2903
            'bin_pt' => 0,
2904
            'block_config' => 'sysgen_blockset:fromreg_config',
2905
            'block_handle' => 2117.00048828125,
2906
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2907
            'block_type' => 'fromreg',
2908
            'dbl_ovrd' => 0,
2909
            'gui_display_data_type' => 1,
2910
            'init' => 0,
2911
            'init_bit_vector' => '\'b0',
2912
            'mdl_handle' => 2083.00048828125,
2913
            'model_handle' => 2083.00048828125,
2914
            'n_bits' => 1,
2915
            'ownership' => 2,
2916
            'period' => '8e-009',
2917
            'preci_type' => 1,
2918
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2919
            'shared_memory_name' => 'register05rv',
2920
          },
2921
          'needs_vhdl_wrapper' => 0,
2922
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2923
        },
2924
        'entityName' => 'x_x88',
2925
        'ports' => {
2926
          'data_out' => {
2927
            'attributes' => {
2928
              'bin_pt' => 0,
2929
              'is_floating_block' => 1,
2930
              'must_be_hdl_vector' => 1,
2931
              'period' => 1,
2932
              'port_id' => 0,
2933
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9/data_out',
2934
              'type' => 'UFix_1_0',
2935
            },
2936
            'direction' => 'out',
2937
            'hdlType' => 'std_logic_vector(0 downto 0)',
2938
            'width' => 1,
2939
          },
2940
        },
2941
      },
2942
      'entityName' => 'x_x88',
2943
    },
2944
    'inout_logic' => {
2945
      'connections' => {
2946
        'data_in' => 'debug_in_2i_net_x0',
2947
        'data_in_x0' => 'reg04_tv_net_x0',
2948
        'data_in_x1' => 'reg04_td_net_x0',
2949
        'data_in_x10' => 'debug_in_3i_net_x0',
2950
        'data_in_x11' => 'debug_in_4i_net_x0',
2951
        'data_in_x12' => 'reg09_tv_net_x0',
2952
        'data_in_x13' => 'reg09_td_net_x0',
2953
        'data_in_x14' => 'reg10_tv_net_x0',
2954
        'data_in_x15' => 'reg10_td_net_x0',
2955
        'data_in_x16' => 'reg08_tv_net_x0',
2956
        'data_in_x17' => 'reg08_td_net_x0',
2957
        'data_in_x18' => 'reg11_tv_net_x0',
2958
        'data_in_x19' => 'reg11_td_net_x0',
2959
        'data_in_x2' => 'reg05_tv_net_x0',
2960
        'data_in_x20' => 'reg12_tv_net_x0',
2961
        'data_in_x21' => 'reg01_tv_net_x0',
2962
        'data_in_x22' => 'reg12_td_net_x0',
2963
        'data_in_x23' => 'reg13_tv_net_x0',
2964
        'data_in_x24' => 'reg13_td_net_x0',
2965
        'data_in_x25' => 'reg14_tv_net_x0',
2966
        'data_in_x26' => 'reg14_td_net_x0',
2967
        'data_in_x27' => 'reg02_tv_net_x0',
2968
        'data_in_x28' => 'reg02_td_net_x0',
2969
        'data_in_x29' => 'debug_in_1i_net_x0',
2970
        'data_in_x3' => 'reg05_td_net_x0',
2971
        'data_in_x30' => 'reg01_td_net_x0',
2972
        'data_in_x31' => 'reg03_tv_net_x0',
2973
        'data_in_x32' => 'reg03_td_net_x0',
2974
        'data_in_x4' => 'reg06_tv_net_x0',
2975
        'data_in_x5' => 'reg06_td_net_x0',
2976
        'data_in_x6' => 'reg07_tv_net_x0',
2977
        'data_in_x7' => 'reg07_td_net_x0',
2978
        'data_in_x8' => 'dma_host2board_busy_net_x0',
2979
        'data_in_x9' => 'dma_host2board_done_net_x0',
2980
        'data_out' => 'from_register1_data_out_net',
2981
        'data_out_x0' => 'from_register10_data_out_net',
2982
        'data_out_x1' => 'from_register11_data_out_net',
2983
        'data_out_x10' => 'from_register2_data_out_net',
2984
        'data_out_x11' => 'from_register20_data_out_net',
2985
        'data_out_x12' => 'from_register21_data_out_net',
2986
        'data_out_x13' => 'from_register22_data_out_net',
2987
        'data_out_x14' => 'from_register23_data_out_net',
2988
        'data_out_x15' => 'from_register24_data_out_net',
2989
        'data_out_x16' => 'from_register25_data_out_net',
2990
        'data_out_x17' => 'from_register26_data_out_net',
2991
        'data_out_x18' => 'from_register27_data_out_net',
2992
        'data_out_x19' => 'from_register28_data_out_net',
2993
        'data_out_x2' => 'from_register12_data_out_net',
2994
        'data_out_x20' => 'from_register3_data_out_net',
2995
        'data_out_x21' => 'from_register4_data_out_net',
2996
        'data_out_x22' => 'from_register5_data_out_net',
2997
        'data_out_x23' => 'from_register6_data_out_net',
2998
        'data_out_x24' => 'from_register7_data_out_net',
2999
        'data_out_x25' => 'from_register8_data_out_net',
3000
        'data_out_x26' => 'from_register9_data_out_net',
3001
        'data_out_x3' => 'from_register13_data_out_net',
3002
        'data_out_x4' => 'from_register14_data_out_net',
3003
        'data_out_x5' => 'from_register15_data_out_net',
3004
        'data_out_x6' => 'from_register16_data_out_net',
3005
        'data_out_x7' => 'from_register17_data_out_net',
3006
        'data_out_x8' => 'from_register18_data_out_net',
3007
        'data_out_x9' => 'from_register19_data_out_net',
3008
        'debug_in_1i' => 'debug_in_1i_net',
3009
        'debug_in_2i' => 'debug_in_2i_net',
3010
        'debug_in_3i' => 'debug_in_3i_net',
3011
        'debug_in_4i' => 'debug_in_4i_net',
3012
        'dma_host2board_busy' => 'dma_host2board_busy_net',
3013
        'dma_host2board_done' => 'dma_host2board_done_net',
3014
        'en' => 'constant5_op_net_x0',
3015
        'en_x0' => 'constant5_op_net_x1',
3016
        'en_x1' => 'constant5_op_net_x2',
3017
        'en_x10' => 'constant5_op_net_x11',
3018
        'en_x11' => 'constant5_op_net_x12',
3019
        'en_x12' => 'constant1_op_net_x0',
3020
        'en_x13' => 'constant1_op_net_x1',
3021
        'en_x14' => 'constant1_op_net_x2',
3022
        'en_x15' => 'constant1_op_net_x3',
3023
        'en_x16' => 'constant1_op_net_x4',
3024
        'en_x17' => 'constant1_op_net_x5',
3025
        'en_x18' => 'constant1_op_net_x6',
3026
        'en_x19' => 'constant1_op_net_x7',
3027
        'en_x2' => 'constant5_op_net_x3',
3028
        'en_x20' => 'constant1_op_net_x8',
3029
        'en_x21' => 'constant5_op_net_x13',
3030
        'en_x22' => 'constant1_op_net_x9',
3031
        'en_x23' => 'constant1_op_net_x10',
3032
        'en_x24' => 'constant1_op_net_x11',
3033
        'en_x25' => 'constant1_op_net_x12',
3034
        'en_x26' => 'constant1_op_net_x13',
3035
        'en_x27' => 'constant5_op_net_x14',
3036
        'en_x28' => 'constant5_op_net_x15',
3037
        'en_x29' => 'constant5_op_net_x16',
3038
        'en_x3' => 'constant5_op_net_x4',
3039
        'en_x30' => 'constant5_op_net_x17',
3040
        'en_x31' => 'constant5_op_net_x18',
3041
        'en_x32' => 'constant5_op_net_x19',
3042
        'en_x4' => 'constant5_op_net_x5',
3043
        'en_x5' => 'constant5_op_net_x6',
3044
        'en_x6' => 'constant5_op_net_x7',
3045
        'en_x7' => 'constant5_op_net_x8',
3046
        'en_x8' => 'constant5_op_net_x9',
3047
        'en_x9' => 'constant5_op_net_x10',
3048
        'reg01_rd' => 'from_register3_data_out_net_x0',
3049
        'reg01_rv' => 'from_register1_data_out_net_x0',
3050
        'reg01_td' => 'reg01_td_net',
3051
        'reg01_tv' => 'reg01_tv_net',
3052
        'reg02_rd' => 'from_register5_data_out_net_x0',
3053
        'reg02_rv' => 'from_register2_data_out_net_x0',
3054
        'reg02_td' => 'reg02_td_net',
3055
        'reg02_tv' => 'reg02_tv_net',
3056
        'reg03_rd' => 'from_register7_data_out_net_x0',
3057
        'reg03_rv' => 'from_register6_data_out_net_x0',
3058
        'reg03_td' => 'reg03_td_net',
3059
        'reg03_tv' => 'reg03_tv_net',
3060
        'reg04_rd' => 'from_register8_data_out_net_x0',
3061
        'reg04_rv' => 'from_register4_data_out_net_x0',
3062
        'reg04_td' => 'reg04_td_net',
3063
        'reg04_tv' => 'reg04_tv_net',
3064
        'reg05_rd' => 'from_register10_data_out_net_x0',
3065
        'reg05_rv' => 'from_register9_data_out_net_x0',
3066
        'reg05_td' => 'reg05_td_net',
3067
        'reg05_tv' => 'reg05_tv_net',
3068
        'reg06_rd' => 'from_register11_data_out_net_x0',
3069
        'reg06_rv' => 'from_register12_data_out_net_x0',
3070
        'reg06_td' => 'reg06_td_net',
3071
        'reg06_tv' => 'reg06_tv_net',
3072
        'reg07_rd' => 'from_register13_data_out_net_x0',
3073
        'reg07_rv' => 'from_register14_data_out_net_x0',
3074
        'reg07_td' => 'reg07_td_net',
3075
        'reg07_tv' => 'reg07_tv_net',
3076
        'reg08_rd' => 'from_register15_data_out_net_x0',
3077
        'reg08_rv' => 'from_register16_data_out_net_x0',
3078
        'reg08_td' => 'reg08_td_net',
3079
        'reg08_tv' => 'reg08_tv_net',
3080
        'reg09_rd' => 'from_register17_data_out_net_x0',
3081
        'reg09_rv' => 'from_register18_data_out_net_x0',
3082
        'reg09_td' => 'reg09_td_net',
3083
        'reg09_tv' => 'reg09_tv_net',
3084
        'reg10_rd' => 'from_register19_data_out_net_x0',
3085
        'reg10_rv' => 'from_register20_data_out_net_x0',
3086
        'reg10_td' => 'reg10_td_net',
3087
        'reg10_tv' => 'reg10_tv_net',
3088
        'reg11_rd' => 'from_register21_data_out_net_x0',
3089
        'reg11_rv' => 'from_register22_data_out_net_x0',
3090
        'reg11_td' => 'reg11_td_net',
3091
        'reg11_tv' => 'reg11_tv_net',
3092
        'reg12_rd' => 'from_register23_data_out_net_x0',
3093
        'reg12_rv' => 'from_register24_data_out_net_x0',
3094
        'reg12_td' => 'reg12_td_net',
3095
        'reg12_tv' => 'reg12_tv_net',
3096
        'reg13_rd' => 'from_register25_data_out_net_x0',
3097
        'reg13_rv' => 'from_register26_data_out_net_x0',
3098
        'reg13_td' => 'reg13_td_net',
3099
        'reg13_tv' => 'reg13_tv_net',
3100
        'reg14_rd' => 'from_register27_data_out_net_x0',
3101
        'reg14_rv' => 'from_register28_data_out_net_x0',
3102
        'reg14_td' => 'reg14_td_net',
3103
        'reg14_tv' => 'reg14_tv_net',
3104
      },
3105
      'entity' => {
3106
        'attributes' => {
3107
          'entityAlreadyNetlisted' => 1,
3108
          'hdlKind' => 'vhdl',
3109
          'isDesign' => 1,
3110
          'simulinkName' => 'INOUT_LOGIC',
3111
        },
3112
        'entityName' => 'inout_logic',
3113
        'ports' => {
3114
          'data_in' => {
3115
            'attributes' => {
3116
              'bin_pt' => 0,
3117
              'is_floating_block' => 1,
3118
              'must_be_hdl_vector' => 1,
3119
              'period' => 1,
3120
              'port_id' => 0,
3121
              'simulinkName' => 'INOUT_LOGIC/data_in',
3122
              'type' => 'UFix_32_0',
3123
            },
3124
            'direction' => 'out',
3125
            'hdlType' => 'std_logic_vector(31 downto 0)',
3126
            'width' => 32,
3127
          },
3128
          'data_in_x0' => {
3129
            'attributes' => {
3130
              'bin_pt' => 0,
3131
              'is_floating_block' => 1,
3132
              'must_be_hdl_vector' => 1,
3133
              'period' => 1,
3134
              'port_id' => 0,
3135
              'simulinkName' => 'INOUT_LOGIC/data_in',
3136
              'type' => 'Bool',
3137
            },
3138
            'direction' => 'out',
3139
            'hdlType' => 'std_logic',
3140
            'width' => 1,
3141
          },
3142
          'data_in_x1' => {
3143
            'attributes' => {
3144
              'bin_pt' => 0,
3145
              'is_floating_block' => 1,
3146
              'must_be_hdl_vector' => 1,
3147
              'period' => 1,
3148
              'port_id' => 0,
3149
              'simulinkName' => 'INOUT_LOGIC/data_in',
3150
              'type' => 'UFix_32_0',
3151
            },
3152
            'direction' => 'out',
3153
            'hdlType' => 'std_logic_vector(31 downto 0)',
3154
            'width' => 32,
3155
          },
3156
          'data_in_x10' => {
3157
            'attributes' => {
3158
              'bin_pt' => 0,
3159
              'is_floating_block' => 1,
3160
              'must_be_hdl_vector' => 1,
3161
              'period' => 1,
3162
              'port_id' => 0,
3163
              'simulinkName' => 'INOUT_LOGIC/data_in',
3164
              'type' => 'UFix_32_0',
3165
            },
3166
            'direction' => 'out',
3167
            'hdlType' => 'std_logic_vector(31 downto 0)',
3168
            'width' => 32,
3169
          },
3170
          'data_in_x11' => {
3171
            'attributes' => {
3172
              'bin_pt' => 0,
3173
              'is_floating_block' => 1,
3174
              'must_be_hdl_vector' => 1,
3175
              'period' => 1,
3176
              'port_id' => 0,
3177
              'simulinkName' => 'INOUT_LOGIC/data_in',
3178
              'type' => 'UFix_32_0',
3179
            },
3180
            'direction' => 'out',
3181
            'hdlType' => 'std_logic_vector(31 downto 0)',
3182
            'width' => 32,
3183
          },
3184
          'data_in_x12' => {
3185
            'attributes' => {
3186
              'bin_pt' => 0,
3187
              'is_floating_block' => 1,
3188
              'must_be_hdl_vector' => 1,
3189
              'period' => 1,
3190
              'port_id' => 0,
3191
              'simulinkName' => 'INOUT_LOGIC/data_in',
3192
              'type' => 'Bool',
3193
            },
3194
            'direction' => 'out',
3195
            'hdlType' => 'std_logic',
3196
            'width' => 1,
3197
          },
3198
          'data_in_x13' => {
3199
            'attributes' => {
3200
              'bin_pt' => 0,
3201
              'is_floating_block' => 1,
3202
              'must_be_hdl_vector' => 1,
3203
              'period' => 1,
3204
              'port_id' => 0,
3205
              'simulinkName' => 'INOUT_LOGIC/data_in',
3206
              'type' => 'UFix_32_0',
3207
            },
3208
            'direction' => 'out',
3209
            'hdlType' => 'std_logic_vector(31 downto 0)',
3210
            'width' => 32,
3211
          },
3212
          'data_in_x14' => {
3213
            'attributes' => {
3214
              'bin_pt' => 0,
3215
              'is_floating_block' => 1,
3216
              'must_be_hdl_vector' => 1,
3217
              'period' => 1,
3218
              'port_id' => 0,
3219
              'simulinkName' => 'INOUT_LOGIC/data_in',
3220
              'type' => 'Bool',
3221
            },
3222
            'direction' => 'out',
3223
            'hdlType' => 'std_logic',
3224
            'width' => 1,
3225
          },
3226
          'data_in_x15' => {
3227
            'attributes' => {
3228
              'bin_pt' => 0,
3229
              'is_floating_block' => 1,
3230
              'must_be_hdl_vector' => 1,
3231
              'period' => 1,
3232
              'port_id' => 0,
3233
              'simulinkName' => 'INOUT_LOGIC/data_in',
3234
              'type' => 'UFix_32_0',
3235
            },
3236
            'direction' => 'out',
3237
            'hdlType' => 'std_logic_vector(31 downto 0)',
3238
            'width' => 32,
3239
          },
3240
          'data_in_x16' => {
3241
            'attributes' => {
3242
              'bin_pt' => 0,
3243
              'is_floating_block' => 1,
3244
              'must_be_hdl_vector' => 1,
3245
              'period' => 1,
3246
              'port_id' => 0,
3247
              'simulinkName' => 'INOUT_LOGIC/data_in',
3248
              'type' => 'Bool',
3249
            },
3250
            'direction' => 'out',
3251
            'hdlType' => 'std_logic',
3252
            'width' => 1,
3253
          },
3254
          'data_in_x17' => {
3255
            'attributes' => {
3256
              'bin_pt' => 0,
3257
              'is_floating_block' => 1,
3258
              'must_be_hdl_vector' => 1,
3259
              'period' => 1,
3260
              'port_id' => 0,
3261
              'simulinkName' => 'INOUT_LOGIC/data_in',
3262
              'type' => 'UFix_32_0',
3263
            },
3264
            'direction' => 'out',
3265
            'hdlType' => 'std_logic_vector(31 downto 0)',
3266
            'width' => 32,
3267
          },
3268
          'data_in_x18' => {
3269
            'attributes' => {
3270
              'bin_pt' => 0,
3271
              'is_floating_block' => 1,
3272
              'must_be_hdl_vector' => 1,
3273
              'period' => 1,
3274
              'port_id' => 0,
3275
              'simulinkName' => 'INOUT_LOGIC/data_in',
3276
              'type' => 'Bool',
3277
            },
3278
            'direction' => 'out',
3279
            'hdlType' => 'std_logic',
3280
            'width' => 1,
3281
          },
3282
          'data_in_x19' => {
3283
            'attributes' => {
3284
              'bin_pt' => 0,
3285
              'is_floating_block' => 1,
3286
              'must_be_hdl_vector' => 1,
3287
              'period' => 1,
3288
              'port_id' => 0,
3289
              'simulinkName' => 'INOUT_LOGIC/data_in',
3290
              'type' => 'UFix_32_0',
3291
            },
3292
            'direction' => 'out',
3293
            'hdlType' => 'std_logic_vector(31 downto 0)',
3294
            'width' => 32,
3295
          },
3296
          'data_in_x2' => {
3297
            'attributes' => {
3298
              'bin_pt' => 0,
3299
              'is_floating_block' => 1,
3300
              'must_be_hdl_vector' => 1,
3301
              'period' => 1,
3302
              'port_id' => 0,
3303
              'simulinkName' => 'INOUT_LOGIC/data_in',
3304
              'type' => 'Bool',
3305
            },
3306
            'direction' => 'out',
3307
            'hdlType' => 'std_logic',
3308
            'width' => 1,
3309
          },
3310
          'data_in_x20' => {
3311
            'attributes' => {
3312
              'bin_pt' => 0,
3313
              'is_floating_block' => 1,
3314
              'must_be_hdl_vector' => 1,
3315
              'period' => 1,
3316
              'port_id' => 0,
3317
              'simulinkName' => 'INOUT_LOGIC/data_in',
3318
              'type' => 'Bool',
3319
            },
3320
            'direction' => 'out',
3321
            'hdlType' => 'std_logic',
3322
            'width' => 1,
3323
          },
3324
          'data_in_x21' => {
3325
            'attributes' => {
3326
              'bin_pt' => 0,
3327
              'is_floating_block' => 1,
3328
              'must_be_hdl_vector' => 1,
3329
              'period' => 1,
3330
              'port_id' => 0,
3331
              'simulinkName' => 'INOUT_LOGIC/data_in',
3332
              'type' => 'Bool',
3333
            },
3334
            'direction' => 'out',
3335
            'hdlType' => 'std_logic',
3336
            'width' => 1,
3337
          },
3338
          'data_in_x22' => {
3339
            'attributes' => {
3340
              'bin_pt' => 0,
3341
              'is_floating_block' => 1,
3342
              'must_be_hdl_vector' => 1,
3343
              'period' => 1,
3344
              'port_id' => 0,
3345
              'simulinkName' => 'INOUT_LOGIC/data_in',
3346
              'type' => 'UFix_32_0',
3347
            },
3348
            'direction' => 'out',
3349
            'hdlType' => 'std_logic_vector(31 downto 0)',
3350
            'width' => 32,
3351
          },
3352
          'data_in_x23' => {
3353
            'attributes' => {
3354
              'bin_pt' => 0,
3355
              'is_floating_block' => 1,
3356
              'must_be_hdl_vector' => 1,
3357
              'period' => 1,
3358
              'port_id' => 0,
3359
              'simulinkName' => 'INOUT_LOGIC/data_in',
3360
              'type' => 'Bool',
3361
            },
3362
            'direction' => 'out',
3363
            'hdlType' => 'std_logic',
3364
            'width' => 1,
3365
          },
3366
          'data_in_x24' => {
3367
            'attributes' => {
3368
              'bin_pt' => 0,
3369
              'is_floating_block' => 1,
3370
              'must_be_hdl_vector' => 1,
3371
              'period' => 1,
3372
              'port_id' => 0,
3373
              'simulinkName' => 'INOUT_LOGIC/data_in',
3374
              'type' => 'UFix_32_0',
3375
            },
3376
            'direction' => 'out',
3377
            'hdlType' => 'std_logic_vector(31 downto 0)',
3378
            'width' => 32,
3379
          },
3380
          'data_in_x25' => {
3381
            'attributes' => {
3382
              'bin_pt' => 0,
3383
              'is_floating_block' => 1,
3384
              'must_be_hdl_vector' => 1,
3385
              'period' => 1,
3386
              'port_id' => 0,
3387
              'simulinkName' => 'INOUT_LOGIC/data_in',
3388
              'type' => 'Bool',
3389
            },
3390
            'direction' => 'out',
3391
            'hdlType' => 'std_logic',
3392
            'width' => 1,
3393
          },
3394
          'data_in_x26' => {
3395
            'attributes' => {
3396
              'bin_pt' => 0,
3397
              'is_floating_block' => 1,
3398
              'must_be_hdl_vector' => 1,
3399
              'period' => 1,
3400
              'port_id' => 0,
3401
              'simulinkName' => 'INOUT_LOGIC/data_in',
3402
              'type' => 'UFix_32_0',
3403
            },
3404
            'direction' => 'out',
3405
            'hdlType' => 'std_logic_vector(31 downto 0)',
3406
            'width' => 32,
3407
          },
3408
          'data_in_x27' => {
3409
            'attributes' => {
3410
              'bin_pt' => 0,
3411
              'is_floating_block' => 1,
3412
              'must_be_hdl_vector' => 1,
3413
              'period' => 1,
3414
              'port_id' => 0,
3415
              'simulinkName' => 'INOUT_LOGIC/data_in',
3416
              'type' => 'Bool',
3417
            },
3418
            'direction' => 'out',
3419
            'hdlType' => 'std_logic',
3420
            'width' => 1,
3421
          },
3422
          'data_in_x28' => {
3423
            'attributes' => {
3424
              'bin_pt' => 0,
3425
              'is_floating_block' => 1,
3426
              'must_be_hdl_vector' => 1,
3427
              'period' => 1,
3428
              'port_id' => 0,
3429
              'simulinkName' => 'INOUT_LOGIC/data_in',
3430
              'type' => 'UFix_32_0',
3431
            },
3432
            'direction' => 'out',
3433
            'hdlType' => 'std_logic_vector(31 downto 0)',
3434
            'width' => 32,
3435
          },
3436
          'data_in_x29' => {
3437
            'attributes' => {
3438
              'bin_pt' => 0,
3439
              'is_floating_block' => 1,
3440
              'must_be_hdl_vector' => 1,
3441
              'period' => 1,
3442
              'port_id' => 0,
3443
              'simulinkName' => 'INOUT_LOGIC/data_in',
3444
              'type' => 'UFix_32_0',
3445
            },
3446
            'direction' => 'out',
3447
            'hdlType' => 'std_logic_vector(31 downto 0)',
3448
            'width' => 32,
3449
          },
3450
          'data_in_x3' => {
3451
            'attributes' => {
3452
              'bin_pt' => 0,
3453
              'is_floating_block' => 1,
3454
              'must_be_hdl_vector' => 1,
3455
              'period' => 1,
3456
              'port_id' => 0,
3457
              'simulinkName' => 'INOUT_LOGIC/data_in',
3458
              'type' => 'UFix_32_0',
3459
            },
3460
            'direction' => 'out',
3461
            'hdlType' => 'std_logic_vector(31 downto 0)',
3462
            'width' => 32,
3463
          },
3464
          'data_in_x30' => {
3465
            'attributes' => {
3466
              'bin_pt' => 0,
3467
              'is_floating_block' => 1,
3468
              'must_be_hdl_vector' => 1,
3469
              'period' => 1,
3470
              'port_id' => 0,
3471
              'simulinkName' => 'INOUT_LOGIC/data_in',
3472
              'type' => 'UFix_32_0',
3473
            },
3474
            'direction' => 'out',
3475
            'hdlType' => 'std_logic_vector(31 downto 0)',
3476
            'width' => 32,
3477
          },
3478
          'data_in_x31' => {
3479
            'attributes' => {
3480
              'bin_pt' => 0,
3481
              'is_floating_block' => 1,
3482
              'must_be_hdl_vector' => 1,
3483
              'period' => 1,
3484
              'port_id' => 0,
3485
              'simulinkName' => 'INOUT_LOGIC/data_in',
3486
              'type' => 'Bool',
3487
            },
3488
            'direction' => 'out',
3489
            'hdlType' => 'std_logic',
3490
            'width' => 1,
3491
          },
3492
          'data_in_x32' => {
3493
            'attributes' => {
3494
              'bin_pt' => 0,
3495
              'is_floating_block' => 1,
3496
              'must_be_hdl_vector' => 1,
3497
              'period' => 1,
3498
              'port_id' => 0,
3499
              'simulinkName' => 'INOUT_LOGIC/data_in',
3500
              'type' => 'UFix_32_0',
3501
            },
3502
            'direction' => 'out',
3503
            'hdlType' => 'std_logic_vector(31 downto 0)',
3504
            'width' => 32,
3505
          },
3506
          'data_in_x4' => {
3507
            'attributes' => {
3508
              'bin_pt' => 0,
3509
              'is_floating_block' => 1,
3510
              'must_be_hdl_vector' => 1,
3511
              'period' => 1,
3512
              'port_id' => 0,
3513
              'simulinkName' => 'INOUT_LOGIC/data_in',
3514
              'type' => 'Bool',
3515
            },
3516
            'direction' => 'out',
3517
            'hdlType' => 'std_logic',
3518
            'width' => 1,
3519
          },
3520
          'data_in_x5' => {
3521
            'attributes' => {
3522
              'bin_pt' => 0,
3523
              'is_floating_block' => 1,
3524
              'must_be_hdl_vector' => 1,
3525
              'period' => 1,
3526
              'port_id' => 0,
3527
              'simulinkName' => 'INOUT_LOGIC/data_in',
3528
              'type' => 'UFix_32_0',
3529
            },
3530
            'direction' => 'out',
3531
            'hdlType' => 'std_logic_vector(31 downto 0)',
3532
            'width' => 32,
3533
          },
3534
          'data_in_x6' => {
3535
            'attributes' => {
3536
              'bin_pt' => 0,
3537
              'is_floating_block' => 1,
3538
              'must_be_hdl_vector' => 1,
3539
              'period' => 1,
3540
              'port_id' => 0,
3541
              'simulinkName' => 'INOUT_LOGIC/data_in',
3542
              'type' => 'Bool',
3543
            },
3544
            'direction' => 'out',
3545
            'hdlType' => 'std_logic',
3546
            'width' => 1,
3547
          },
3548
          'data_in_x7' => {
3549
            'attributes' => {
3550
              'bin_pt' => 0,
3551
              'is_floating_block' => 1,
3552
              'must_be_hdl_vector' => 1,
3553
              'period' => 1,
3554
              'port_id' => 0,
3555
              'simulinkName' => 'INOUT_LOGIC/data_in',
3556
              'type' => 'UFix_32_0',
3557
            },
3558
            'direction' => 'out',
3559
            'hdlType' => 'std_logic_vector(31 downto 0)',
3560
            'width' => 32,
3561
          },
3562
          'data_in_x8' => {
3563
            'attributes' => {
3564
              'bin_pt' => 0,
3565
              'is_floating_block' => 1,
3566
              'must_be_hdl_vector' => 1,
3567
              'period' => 1,
3568
              'port_id' => 0,
3569
              'simulinkName' => 'INOUT_LOGIC/data_in',
3570
              'type' => 'UFix_1_0',
3571
            },
3572
            'direction' => 'out',
3573
            'hdlType' => 'std_logic',
3574
            'width' => 1,
3575
          },
3576
          'data_in_x9' => {
3577
            'attributes' => {
3578
              'bin_pt' => 0,
3579
              'is_floating_block' => 1,
3580
              'must_be_hdl_vector' => 1,
3581
              'period' => 1,
3582
              'port_id' => 0,
3583
              'simulinkName' => 'INOUT_LOGIC/data_in',
3584
              'type' => 'UFix_1_0',
3585
            },
3586
            'direction' => 'out',
3587
            'hdlType' => 'std_logic',
3588
            'width' => 1,
3589
          },
3590
          'data_out' => {
3591
            'attributes' => {
3592
              'bin_pt' => 0,
3593
              'is_floating_block' => 1,
3594
              'must_be_hdl_vector' => 1,
3595
              'period' => 1,
3596
              'port_id' => 0,
3597
              'simulinkName' => 'INOUT_LOGIC/From Register1',
3598
              'type' => 'UFix_1_0',
3599
            },
3600
            'direction' => 'in',
3601
            'hdlType' => 'std_logic',
3602
            'width' => 1,
3603
          },
3604
          'data_out_x0' => {
3605
            'attributes' => {
3606
              'bin_pt' => 0,
3607
              'is_floating_block' => 1,
3608
              'must_be_hdl_vector' => 1,
3609
              'period' => 1,
3610
              'port_id' => 0,
3611
              'simulinkName' => 'INOUT_LOGIC/From Register10',
3612
              'type' => 'UFix_32_0',
3613
            },
3614
            'direction' => 'in',
3615
            'hdlType' => 'std_logic_vector(31 downto 0)',
3616
            'width' => 32,
3617
          },
3618
          'data_out_x1' => {
3619
            'attributes' => {
3620
              'bin_pt' => 0,
3621
              'is_floating_block' => 1,
3622
              'must_be_hdl_vector' => 1,
3623
              'period' => 1,
3624
              'port_id' => 0,
3625
              'simulinkName' => 'INOUT_LOGIC/From Register11',
3626
              'type' => 'UFix_32_0',
3627
            },
3628
            'direction' => 'in',
3629
            'hdlType' => 'std_logic_vector(31 downto 0)',
3630
            'width' => 32,
3631
          },
3632
          'data_out_x10' => {
3633
            'attributes' => {
3634
              'bin_pt' => 0,
3635
              'is_floating_block' => 1,
3636
              'must_be_hdl_vector' => 1,
3637
              'period' => 1,
3638
              'port_id' => 0,
3639
              'simulinkName' => 'INOUT_LOGIC/From Register2',
3640
              'type' => 'UFix_1_0',
3641
            },
3642
            'direction' => 'in',
3643
            'hdlType' => 'std_logic',
3644
            'width' => 1,
3645
          },
3646
          'data_out_x11' => {
3647
            'attributes' => {
3648
              'bin_pt' => 0,
3649
              'is_floating_block' => 1,
3650
              'must_be_hdl_vector' => 1,
3651
              'period' => 1,
3652
              'port_id' => 0,
3653
              'simulinkName' => 'INOUT_LOGIC/From Register20',
3654
              'type' => 'UFix_1_0',
3655
            },
3656
            'direction' => 'in',
3657
            'hdlType' => 'std_logic',
3658
            'width' => 1,
3659
          },
3660
          'data_out_x12' => {
3661
            'attributes' => {
3662
              'bin_pt' => 0,
3663
              'is_floating_block' => 1,
3664
              'must_be_hdl_vector' => 1,
3665
              'period' => 1,
3666
              'port_id' => 0,
3667
              'simulinkName' => 'INOUT_LOGIC/From Register21',
3668
              'type' => 'UFix_32_0',
3669
            },
3670
            'direction' => 'in',
3671
            'hdlType' => 'std_logic_vector(31 downto 0)',
3672
            'width' => 32,
3673
          },
3674
          'data_out_x13' => {
3675
            'attributes' => {
3676
              'bin_pt' => 0,
3677
              'is_floating_block' => 1,
3678
              'must_be_hdl_vector' => 1,
3679
              'period' => 1,
3680
              'port_id' => 0,
3681
              'simulinkName' => 'INOUT_LOGIC/From Register22',
3682
              'type' => 'UFix_1_0',
3683
            },
3684
            'direction' => 'in',
3685
            'hdlType' => 'std_logic',
3686
            'width' => 1,
3687
          },
3688
          'data_out_x14' => {
3689
            'attributes' => {
3690
              'bin_pt' => 0,
3691
              'is_floating_block' => 1,
3692
              'must_be_hdl_vector' => 1,
3693
              'period' => 1,
3694
              'port_id' => 0,
3695
              'simulinkName' => 'INOUT_LOGIC/From Register23',
3696
              'type' => 'UFix_32_0',
3697
            },
3698
            'direction' => 'in',
3699
            'hdlType' => 'std_logic_vector(31 downto 0)',
3700
            'width' => 32,
3701
          },
3702
          'data_out_x15' => {
3703
            'attributes' => {
3704
              'bin_pt' => 0,
3705
              'is_floating_block' => 1,
3706
              'must_be_hdl_vector' => 1,
3707
              'period' => 1,
3708
              'port_id' => 0,
3709
              'simulinkName' => 'INOUT_LOGIC/From Register24',
3710
              'type' => 'UFix_1_0',
3711
            },
3712
            'direction' => 'in',
3713
            'hdlType' => 'std_logic',
3714
            'width' => 1,
3715
          },
3716
          'data_out_x16' => {
3717
            'attributes' => {
3718
              'bin_pt' => 0,
3719
              'is_floating_block' => 1,
3720
              'must_be_hdl_vector' => 1,
3721
              'period' => 1,
3722
              'port_id' => 0,
3723
              'simulinkName' => 'INOUT_LOGIC/From Register25',
3724
              'type' => 'UFix_32_0',
3725
            },
3726
            'direction' => 'in',
3727
            'hdlType' => 'std_logic_vector(31 downto 0)',
3728
            'width' => 32,
3729
          },
3730
          'data_out_x17' => {
3731
            'attributes' => {
3732
              'bin_pt' => 0,
3733
              'is_floating_block' => 1,
3734
              'must_be_hdl_vector' => 1,
3735
              'period' => 1,
3736
              'port_id' => 0,
3737
              'simulinkName' => 'INOUT_LOGIC/From Register26',
3738
              'type' => 'UFix_1_0',
3739
            },
3740
            'direction' => 'in',
3741
            'hdlType' => 'std_logic',
3742
            'width' => 1,
3743
          },
3744
          'data_out_x18' => {
3745
            'attributes' => {
3746
              'bin_pt' => 0,
3747
              'is_floating_block' => 1,
3748
              'must_be_hdl_vector' => 1,
3749
              'period' => 1,
3750
              'port_id' => 0,
3751
              'simulinkName' => 'INOUT_LOGIC/From Register27',
3752
              'type' => 'UFix_32_0',
3753
            },
3754
            'direction' => 'in',
3755
            'hdlType' => 'std_logic_vector(31 downto 0)',
3756
            'width' => 32,
3757
          },
3758
          'data_out_x19' => {
3759
            'attributes' => {
3760
              'bin_pt' => 0,
3761
              'is_floating_block' => 1,
3762
              'must_be_hdl_vector' => 1,
3763
              'period' => 1,
3764
              'port_id' => 0,
3765
              'simulinkName' => 'INOUT_LOGIC/From Register28',
3766
              'type' => 'UFix_1_0',
3767
            },
3768
            'direction' => 'in',
3769
            'hdlType' => 'std_logic',
3770
            'width' => 1,
3771
          },
3772
          'data_out_x2' => {
3773
            'attributes' => {
3774
              'bin_pt' => 0,
3775
              'is_floating_block' => 1,
3776
              'must_be_hdl_vector' => 1,
3777
              'period' => 1,
3778
              'port_id' => 0,
3779
              'simulinkName' => 'INOUT_LOGIC/From Register12',
3780
              'type' => 'UFix_1_0',
3781
            },
3782
            'direction' => 'in',
3783
            'hdlType' => 'std_logic',
3784
            'width' => 1,
3785
          },
3786
          'data_out_x20' => {
3787
            'attributes' => {
3788
              'bin_pt' => 0,
3789
              'is_floating_block' => 1,
3790
              'must_be_hdl_vector' => 1,
3791
              'period' => 1,
3792
              'port_id' => 0,
3793
              'simulinkName' => 'INOUT_LOGIC/From Register3',
3794
              'type' => 'UFix_32_0',
3795
            },
3796
            'direction' => 'in',
3797
            'hdlType' => 'std_logic_vector(31 downto 0)',
3798
            'width' => 32,
3799
          },
3800
          'data_out_x21' => {
3801
            'attributes' => {
3802
              'bin_pt' => 0,
3803
              'is_floating_block' => 1,
3804
              'must_be_hdl_vector' => 1,
3805
              'period' => 1,
3806
              'port_id' => 0,
3807
              'simulinkName' => 'INOUT_LOGIC/From Register4',
3808
              'type' => 'UFix_1_0',
3809
            },
3810
            'direction' => 'in',
3811
            'hdlType' => 'std_logic',
3812
            'width' => 1,
3813
          },
3814
          'data_out_x22' => {
3815
            'attributes' => {
3816
              'bin_pt' => 0,
3817
              'is_floating_block' => 1,
3818
              'must_be_hdl_vector' => 1,
3819
              'period' => 1,
3820
              'port_id' => 0,
3821
              'simulinkName' => 'INOUT_LOGIC/From Register5',
3822
              'type' => 'UFix_32_0',
3823
            },
3824
            'direction' => 'in',
3825
            'hdlType' => 'std_logic_vector(31 downto 0)',
3826
            'width' => 32,
3827
          },
3828
          'data_out_x23' => {
3829
            'attributes' => {
3830
              'bin_pt' => 0,
3831
              'is_floating_block' => 1,
3832
              'must_be_hdl_vector' => 1,
3833
              'period' => 1,
3834
              'port_id' => 0,
3835
              'simulinkName' => 'INOUT_LOGIC/From Register6',
3836
              'type' => 'UFix_1_0',
3837
            },
3838
            'direction' => 'in',
3839
            'hdlType' => 'std_logic',
3840
            'width' => 1,
3841
          },
3842
          'data_out_x24' => {
3843
            'attributes' => {
3844
              'bin_pt' => 0,
3845
              'is_floating_block' => 1,
3846
              'must_be_hdl_vector' => 1,
3847
              'period' => 1,
3848
              'port_id' => 0,
3849
              'simulinkName' => 'INOUT_LOGIC/From Register7',
3850
              'type' => 'UFix_32_0',
3851
            },
3852
            'direction' => 'in',
3853
            'hdlType' => 'std_logic_vector(31 downto 0)',
3854
            'width' => 32,
3855
          },
3856
          'data_out_x25' => {
3857
            'attributes' => {
3858
              'bin_pt' => 0,
3859
              'is_floating_block' => 1,
3860
              'must_be_hdl_vector' => 1,
3861
              'period' => 1,
3862
              'port_id' => 0,
3863
              'simulinkName' => 'INOUT_LOGIC/From Register8',
3864
              'type' => 'UFix_32_0',
3865
            },
3866
            'direction' => 'in',
3867
            'hdlType' => 'std_logic_vector(31 downto 0)',
3868
            'width' => 32,
3869
          },
3870
          'data_out_x26' => {
3871
            'attributes' => {
3872
              'bin_pt' => 0,
3873
              'is_floating_block' => 1,
3874
              'must_be_hdl_vector' => 1,
3875
              'period' => 1,
3876
              'port_id' => 0,
3877
              'simulinkName' => 'INOUT_LOGIC/From Register9',
3878
              'type' => 'UFix_1_0',
3879
            },
3880
            'direction' => 'in',
3881
            'hdlType' => 'std_logic',
3882
            'width' => 1,
3883
          },
3884
          'data_out_x3' => {
3885
            'attributes' => {
3886
              'bin_pt' => 0,
3887
              'is_floating_block' => 1,
3888
              'must_be_hdl_vector' => 1,
3889
              'period' => 1,
3890
              'port_id' => 0,
3891
              'simulinkName' => 'INOUT_LOGIC/From Register13',
3892
              'type' => 'UFix_32_0',
3893
            },
3894
            'direction' => 'in',
3895
            'hdlType' => 'std_logic_vector(31 downto 0)',
3896
            'width' => 32,
3897
          },
3898
          'data_out_x4' => {
3899
            'attributes' => {
3900
              'bin_pt' => 0,
3901
              'is_floating_block' => 1,
3902
              'must_be_hdl_vector' => 1,
3903
              'period' => 1,
3904
              'port_id' => 0,
3905
              'simulinkName' => 'INOUT_LOGIC/From Register14',
3906
              'type' => 'UFix_1_0',
3907
            },
3908
            'direction' => 'in',
3909
            'hdlType' => 'std_logic',
3910
            'width' => 1,
3911
          },
3912
          'data_out_x5' => {
3913
            'attributes' => {
3914
              'bin_pt' => 0,
3915
              'is_floating_block' => 1,
3916
              'must_be_hdl_vector' => 1,
3917
              'period' => 1,
3918
              'port_id' => 0,
3919
              'simulinkName' => 'INOUT_LOGIC/From Register15',
3920
              'type' => 'UFix_32_0',
3921
            },
3922
            'direction' => 'in',
3923
            'hdlType' => 'std_logic_vector(31 downto 0)',
3924
            'width' => 32,
3925
          },
3926
          'data_out_x6' => {
3927
            'attributes' => {
3928
              'bin_pt' => 0,
3929
              'is_floating_block' => 1,
3930
              'must_be_hdl_vector' => 1,
3931
              'period' => 1,
3932
              'port_id' => 0,
3933
              'simulinkName' => 'INOUT_LOGIC/From Register16',
3934
              'type' => 'UFix_1_0',
3935
            },
3936
            'direction' => 'in',
3937
            'hdlType' => 'std_logic',
3938
            'width' => 1,
3939
          },
3940
          'data_out_x7' => {
3941
            'attributes' => {
3942
              'bin_pt' => 0,
3943
              'is_floating_block' => 1,
3944
              'must_be_hdl_vector' => 1,
3945
              'period' => 1,
3946
              'port_id' => 0,
3947
              'simulinkName' => 'INOUT_LOGIC/From Register17',
3948
              'type' => 'UFix_32_0',
3949
            },
3950
            'direction' => 'in',
3951
            'hdlType' => 'std_logic_vector(31 downto 0)',
3952
            'width' => 32,
3953
          },
3954
          'data_out_x8' => {
3955
            'attributes' => {
3956
              'bin_pt' => 0,
3957
              'is_floating_block' => 1,
3958
              'must_be_hdl_vector' => 1,
3959
              'period' => 1,
3960
              'port_id' => 0,
3961
              'simulinkName' => 'INOUT_LOGIC/From Register18',
3962
              'type' => 'UFix_1_0',
3963
            },
3964
            'direction' => 'in',
3965
            'hdlType' => 'std_logic',
3966
            'width' => 1,
3967
          },
3968
          'data_out_x9' => {
3969
            'attributes' => {
3970
              'bin_pt' => 0,
3971
              'is_floating_block' => 1,
3972
              'must_be_hdl_vector' => 1,
3973
              'period' => 1,
3974
              'port_id' => 0,
3975
              'simulinkName' => 'INOUT_LOGIC/From Register19',
3976
              'type' => 'UFix_32_0',
3977
            },
3978
            'direction' => 'in',
3979
            'hdlType' => 'std_logic_vector(31 downto 0)',
3980
            'width' => 32,
3981
          },
3982
          'debug_in_1i' => {
3983
            'attributes' => {
3984
              'bin_pt' => 0,
3985
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
3986
              'is_floating_block' => 1,
3987
              'is_gateway_port' => 1,
3988
              'must_be_hdl_vector' => 1,
3989
              'period' => 1,
3990
              'port_id' => 0,
3991
              'simulinkName' => 'INOUT_LOGIC/debug_in_1i',
3992
              'source_block' => 'INOUT_LOGIC',
3993
              'timingConstraint' => 'none',
3994
              'type' => 'UFix_32_0',
3995
            },
3996
            'direction' => 'in',
3997
            'hdlType' => 'std_logic_vector(31 downto 0)',
3998
            'width' => 32,
3999
          },
4000
          'debug_in_2i' => {
4001
            'attributes' => {
4002
              'bin_pt' => 0,
4003
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
4004
              'is_floating_block' => 1,
4005
              'is_gateway_port' => 1,
4006
              'must_be_hdl_vector' => 1,
4007
              'period' => 1,
4008
              'port_id' => 0,
4009
              'simulinkName' => 'INOUT_LOGIC/debug_in_2i',
4010
              'source_block' => 'INOUT_LOGIC',
4011
              'timingConstraint' => 'none',
4012
              'type' => 'UFix_32_0',
4013
            },
4014
            'direction' => 'in',
4015
            'hdlType' => 'std_logic_vector(31 downto 0)',
4016
            'width' => 32,
4017
          },
4018
          'debug_in_3i' => {
4019
            'attributes' => {
4020
              'bin_pt' => 0,
4021
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
4022
              'is_floating_block' => 1,
4023
              'is_gateway_port' => 1,
4024
              'must_be_hdl_vector' => 1,
4025
              'period' => 1,
4026
              'port_id' => 0,
4027
              'simulinkName' => 'INOUT_LOGIC/debug_in_3i',
4028
              'source_block' => 'INOUT_LOGIC',
4029
              'timingConstraint' => 'none',
4030
              'type' => 'UFix_32_0',
4031
            },
4032
            'direction' => 'in',
4033
            'hdlType' => 'std_logic_vector(31 downto 0)',
4034
            'width' => 32,
4035
          },
4036
          'debug_in_4i' => {
4037
            'attributes' => {
4038
              'bin_pt' => 0,
4039
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
4040
              'is_floating_block' => 1,
4041
              'is_gateway_port' => 1,
4042
              'must_be_hdl_vector' => 1,
4043
              'period' => 1,
4044
              'port_id' => 0,
4045
              'simulinkName' => 'INOUT_LOGIC/debug_in_4i',
4046
              'source_block' => 'INOUT_LOGIC',
4047
              'timingConstraint' => 'none',
4048
              'type' => 'UFix_32_0',
4049
            },
4050
            'direction' => 'in',
4051
            'hdlType' => 'std_logic_vector(31 downto 0)',
4052
            'width' => 32,
4053
          },
4054
          'dma_host2board_busy' => {
4055
            'attributes' => {
4056
              'bin_pt' => 0,
4057
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
4058
              'is_floating_block' => 1,
4059
              'is_gateway_port' => 1,
4060
              'must_be_hdl_vector' => 1,
4061
              'period' => 1,
4062
              'port_id' => 0,
4063
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Busy',
4064
              'source_block' => 'INOUT_LOGIC',
4065
              'timingConstraint' => 'none',
4066
              'type' => 'UFix_1_0',
4067
            },
4068
            'direction' => 'in',
4069
            'hdlType' => 'std_logic',
4070
            'width' => 1,
4071
          },
4072
          'dma_host2board_done' => {
4073
            'attributes' => {
4074
              'bin_pt' => 0,
4075
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
4076
              'is_floating_block' => 1,
4077
              'is_gateway_port' => 1,
4078
              'must_be_hdl_vector' => 1,
4079
              'period' => 1,
4080
              'port_id' => 0,
4081
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Done',
4082
              'source_block' => 'INOUT_LOGIC',
4083
              'timingConstraint' => 'none',
4084
              'type' => 'UFix_1_0',
4085
            },
4086
            'direction' => 'in',
4087
            'hdlType' => 'std_logic',
4088
            'width' => 1,
4089
          },
4090
          'en' => {
4091
            'attributes' => {
4092
              'bin_pt' => 0,
4093
              'is_floating_block' => 1,
4094
              'must_be_hdl_vector' => 1,
4095
              'period' => 1,
4096
              'port_id' => 0,
4097
              'simulinkName' => 'INOUT_LOGIC/en',
4098
              'type' => 'Bool',
4099
            },
4100
            'direction' => 'out',
4101
            'hdlType' => 'std_logic',
4102
            'width' => 1,
4103
          },
4104
          'en_x0' => {
4105
            'attributes' => {
4106
              'bin_pt' => 0,
4107
              'is_floating_block' => 1,
4108
              'must_be_hdl_vector' => 1,
4109
              'period' => 1,
4110
              'port_id' => 0,
4111
              'simulinkName' => 'INOUT_LOGIC/en',
4112
              'type' => 'Bool',
4113
            },
4114
            'direction' => 'out',
4115
            'hdlType' => 'std_logic',
4116
            'width' => 1,
4117
          },
4118
          'en_x1' => {
4119
            'attributes' => {
4120
              'bin_pt' => 0,
4121
              'is_floating_block' => 1,
4122
              'must_be_hdl_vector' => 1,
4123
              'period' => 1,
4124
              'port_id' => 0,
4125
              'simulinkName' => 'INOUT_LOGIC/en',
4126
              'type' => 'Bool',
4127
            },
4128
            'direction' => 'out',
4129
            'hdlType' => 'std_logic',
4130
            'width' => 1,
4131
          },
4132
          'en_x10' => {
4133
            'attributes' => {
4134
              'bin_pt' => 0,
4135
              'is_floating_block' => 1,
4136
              'must_be_hdl_vector' => 1,
4137
              'period' => 1,
4138
              'port_id' => 0,
4139
              'simulinkName' => 'INOUT_LOGIC/en',
4140
              'type' => 'Bool',
4141
            },
4142
            'direction' => 'out',
4143
            'hdlType' => 'std_logic',
4144
            'width' => 1,
4145
          },
4146
          'en_x11' => {
4147
            'attributes' => {
4148
              'bin_pt' => 0,
4149
              'is_floating_block' => 1,
4150
              'must_be_hdl_vector' => 1,
4151
              'period' => 1,
4152
              'port_id' => 0,
4153
              'simulinkName' => 'INOUT_LOGIC/en',
4154
              'type' => 'Bool',
4155
            },
4156
            'direction' => 'out',
4157
            'hdlType' => 'std_logic',
4158
            'width' => 1,
4159
          },
4160
          'en_x12' => {
4161
            'attributes' => {
4162
              'bin_pt' => 0,
4163
              'is_floating_block' => 1,
4164
              'must_be_hdl_vector' => 1,
4165
              'period' => 1,
4166
              'port_id' => 0,
4167
              'simulinkName' => 'INOUT_LOGIC/en',
4168
              'type' => 'Bool',
4169
            },
4170
            'direction' => 'out',
4171
            'hdlType' => 'std_logic',
4172
            'width' => 1,
4173
          },
4174
          'en_x13' => {
4175
            'attributes' => {
4176
              'bin_pt' => 0,
4177
              'is_floating_block' => 1,
4178
              'must_be_hdl_vector' => 1,
4179
              'period' => 1,
4180
              'port_id' => 0,
4181
              'simulinkName' => 'INOUT_LOGIC/en',
4182
              'type' => 'Bool',
4183
            },
4184
            'direction' => 'out',
4185
            'hdlType' => 'std_logic',
4186
            'width' => 1,
4187
          },
4188
          'en_x14' => {
4189
            'attributes' => {
4190
              'bin_pt' => 0,
4191
              'is_floating_block' => 1,
4192
              'must_be_hdl_vector' => 1,
4193
              'period' => 1,
4194
              'port_id' => 0,
4195
              'simulinkName' => 'INOUT_LOGIC/en',
4196
              'type' => 'Bool',
4197
            },
4198
            'direction' => 'out',
4199
            'hdlType' => 'std_logic',
4200
            'width' => 1,
4201
          },
4202
          'en_x15' => {
4203
            'attributes' => {
4204
              'bin_pt' => 0,
4205
              'is_floating_block' => 1,
4206
              'must_be_hdl_vector' => 1,
4207
              'period' => 1,
4208
              'port_id' => 0,
4209
              'simulinkName' => 'INOUT_LOGIC/en',
4210
              'type' => 'Bool',
4211
            },
4212
            'direction' => 'out',
4213
            'hdlType' => 'std_logic',
4214
            'width' => 1,
4215
          },
4216
          'en_x16' => {
4217
            'attributes' => {
4218
              'bin_pt' => 0,
4219
              'is_floating_block' => 1,
4220
              'must_be_hdl_vector' => 1,
4221
              'period' => 1,
4222
              'port_id' => 0,
4223
              'simulinkName' => 'INOUT_LOGIC/en',
4224
              'type' => 'Bool',
4225
            },
4226
            'direction' => 'out',
4227
            'hdlType' => 'std_logic',
4228
            'width' => 1,
4229
          },
4230
          'en_x17' => {
4231
            'attributes' => {
4232
              'bin_pt' => 0,
4233
              'is_floating_block' => 1,
4234
              'must_be_hdl_vector' => 1,
4235
              'period' => 1,
4236
              'port_id' => 0,
4237
              'simulinkName' => 'INOUT_LOGIC/en',
4238
              'type' => 'Bool',
4239
            },
4240
            'direction' => 'out',
4241
            'hdlType' => 'std_logic',
4242
            'width' => 1,
4243
          },
4244
          'en_x18' => {
4245
            'attributes' => {
4246
              'bin_pt' => 0,
4247
              'is_floating_block' => 1,
4248
              'must_be_hdl_vector' => 1,
4249
              'period' => 1,
4250
              'port_id' => 0,
4251
              'simulinkName' => 'INOUT_LOGIC/en',
4252
              'type' => 'Bool',
4253
            },
4254
            'direction' => 'out',
4255
            'hdlType' => 'std_logic',
4256
            'width' => 1,
4257
          },
4258
          'en_x19' => {
4259
            'attributes' => {
4260
              'bin_pt' => 0,
4261
              'is_floating_block' => 1,
4262
              'must_be_hdl_vector' => 1,
4263
              'period' => 1,
4264
              'port_id' => 0,
4265
              'simulinkName' => 'INOUT_LOGIC/en',
4266
              'type' => 'Bool',
4267
            },
4268
            'direction' => 'out',
4269
            'hdlType' => 'std_logic',
4270
            'width' => 1,
4271
          },
4272
          'en_x2' => {
4273
            'attributes' => {
4274
              'bin_pt' => 0,
4275
              'is_floating_block' => 1,
4276
              'must_be_hdl_vector' => 1,
4277
              'period' => 1,
4278
              'port_id' => 0,
4279
              'simulinkName' => 'INOUT_LOGIC/en',
4280
              'type' => 'Bool',
4281
            },
4282
            'direction' => 'out',
4283
            'hdlType' => 'std_logic',
4284
            'width' => 1,
4285
          },
4286
          'en_x20' => {
4287
            'attributes' => {
4288
              'bin_pt' => 0,
4289
              'is_floating_block' => 1,
4290
              'must_be_hdl_vector' => 1,
4291
              'period' => 1,
4292
              'port_id' => 0,
4293
              'simulinkName' => 'INOUT_LOGIC/en',
4294
              'type' => 'Bool',
4295
            },
4296
            'direction' => 'out',
4297
            'hdlType' => 'std_logic',
4298
            'width' => 1,
4299
          },
4300
          'en_x21' => {
4301
            'attributes' => {
4302
              'bin_pt' => 0,
4303
              'is_floating_block' => 1,
4304
              'must_be_hdl_vector' => 1,
4305
              'period' => 1,
4306
              'port_id' => 0,
4307
              'simulinkName' => 'INOUT_LOGIC/en',
4308
              'type' => 'Bool',
4309
            },
4310
            'direction' => 'out',
4311
            'hdlType' => 'std_logic',
4312
            'width' => 1,
4313
          },
4314
          'en_x22' => {
4315
            'attributes' => {
4316
              'bin_pt' => 0,
4317
              'is_floating_block' => 1,
4318
              'must_be_hdl_vector' => 1,
4319
              'period' => 1,
4320
              'port_id' => 0,
4321
              'simulinkName' => 'INOUT_LOGIC/en',
4322
              'type' => 'Bool',
4323
            },
4324
            'direction' => 'out',
4325
            'hdlType' => 'std_logic',
4326
            'width' => 1,
4327
          },
4328
          'en_x23' => {
4329
            'attributes' => {
4330
              'bin_pt' => 0,
4331
              'is_floating_block' => 1,
4332
              'must_be_hdl_vector' => 1,
4333
              'period' => 1,
4334
              'port_id' => 0,
4335
              'simulinkName' => 'INOUT_LOGIC/en',
4336
              'type' => 'Bool',
4337
            },
4338
            'direction' => 'out',
4339
            'hdlType' => 'std_logic',
4340
            'width' => 1,
4341
          },
4342
          'en_x24' => {
4343
            'attributes' => {
4344
              'bin_pt' => 0,
4345
              'is_floating_block' => 1,
4346
              'must_be_hdl_vector' => 1,
4347
              'period' => 1,
4348
              'port_id' => 0,
4349
              'simulinkName' => 'INOUT_LOGIC/en',
4350
              'type' => 'Bool',
4351
            },
4352
            'direction' => 'out',
4353
            'hdlType' => 'std_logic',
4354
            'width' => 1,
4355
          },
4356
          'en_x25' => {
4357
            'attributes' => {
4358
              'bin_pt' => 0,
4359
              'is_floating_block' => 1,
4360
              'must_be_hdl_vector' => 1,
4361
              'period' => 1,
4362
              'port_id' => 0,
4363
              'simulinkName' => 'INOUT_LOGIC/en',
4364
              'type' => 'Bool',
4365
            },
4366
            'direction' => 'out',
4367
            'hdlType' => 'std_logic',
4368
            'width' => 1,
4369
          },
4370
          'en_x26' => {
4371
            'attributes' => {
4372
              'bin_pt' => 0,
4373
              'is_floating_block' => 1,
4374
              'must_be_hdl_vector' => 1,
4375
              'period' => 1,
4376
              'port_id' => 0,
4377
              'simulinkName' => 'INOUT_LOGIC/en',
4378
              'type' => 'Bool',
4379
            },
4380
            'direction' => 'out',
4381
            'hdlType' => 'std_logic',
4382
            'width' => 1,
4383
          },
4384
          'en_x27' => {
4385
            'attributes' => {
4386
              'bin_pt' => 0,
4387
              'is_floating_block' => 1,
4388
              'must_be_hdl_vector' => 1,
4389
              'period' => 1,
4390
              'port_id' => 0,
4391
              'simulinkName' => 'INOUT_LOGIC/en',
4392
              'type' => 'Bool',
4393
            },
4394
            'direction' => 'out',
4395
            'hdlType' => 'std_logic',
4396
            'width' => 1,
4397
          },
4398
          'en_x28' => {
4399
            'attributes' => {
4400
              'bin_pt' => 0,
4401
              'is_floating_block' => 1,
4402
              'must_be_hdl_vector' => 1,
4403
              'period' => 1,
4404
              'port_id' => 0,
4405
              'simulinkName' => 'INOUT_LOGIC/en',
4406
              'type' => 'Bool',
4407
            },
4408
            'direction' => 'out',
4409
            'hdlType' => 'std_logic',
4410
            'width' => 1,
4411
          },
4412
          'en_x29' => {
4413
            'attributes' => {
4414
              'bin_pt' => 0,
4415
              'is_floating_block' => 1,
4416
              'must_be_hdl_vector' => 1,
4417
              'period' => 1,
4418
              'port_id' => 0,
4419
              'simulinkName' => 'INOUT_LOGIC/en',
4420
              'type' => 'Bool',
4421
            },
4422
            'direction' => 'out',
4423
            'hdlType' => 'std_logic',
4424
            'width' => 1,
4425
          },
4426
          'en_x3' => {
4427
            'attributes' => {
4428
              'bin_pt' => 0,
4429
              'is_floating_block' => 1,
4430
              'must_be_hdl_vector' => 1,
4431
              'period' => 1,
4432
              'port_id' => 0,
4433
              'simulinkName' => 'INOUT_LOGIC/en',
4434
              'type' => 'Bool',
4435
            },
4436
            'direction' => 'out',
4437
            'hdlType' => 'std_logic',
4438
            'width' => 1,
4439
          },
4440
          'en_x30' => {
4441
            'attributes' => {
4442
              'bin_pt' => 0,
4443
              'is_floating_block' => 1,
4444
              'must_be_hdl_vector' => 1,
4445
              'period' => 1,
4446
              'port_id' => 0,
4447
              'simulinkName' => 'INOUT_LOGIC/en',
4448
              'type' => 'Bool',
4449
            },
4450
            'direction' => 'out',
4451
            'hdlType' => 'std_logic',
4452
            'width' => 1,
4453
          },
4454
          'en_x31' => {
4455
            'attributes' => {
4456
              'bin_pt' => 0,
4457
              'is_floating_block' => 1,
4458
              'must_be_hdl_vector' => 1,
4459
              'period' => 1,
4460
              'port_id' => 0,
4461
              'simulinkName' => 'INOUT_LOGIC/en',
4462
              'type' => 'Bool',
4463
            },
4464
            'direction' => 'out',
4465
            'hdlType' => 'std_logic',
4466
            'width' => 1,
4467
          },
4468
          'en_x32' => {
4469
            'attributes' => {
4470
              'bin_pt' => 0,
4471
              'is_floating_block' => 1,
4472
              'must_be_hdl_vector' => 1,
4473
              'period' => 1,
4474
              'port_id' => 0,
4475
              'simulinkName' => 'INOUT_LOGIC/en',
4476
              'type' => 'Bool',
4477
            },
4478
            'direction' => 'out',
4479
            'hdlType' => 'std_logic',
4480
            'width' => 1,
4481
          },
4482
          'en_x4' => {
4483
            'attributes' => {
4484
              'bin_pt' => 0,
4485
              'is_floating_block' => 1,
4486
              'must_be_hdl_vector' => 1,
4487
              'period' => 1,
4488
              'port_id' => 0,
4489
              'simulinkName' => 'INOUT_LOGIC/en',
4490
              'type' => 'Bool',
4491
            },
4492
            'direction' => 'out',
4493
            'hdlType' => 'std_logic',
4494
            'width' => 1,
4495
          },
4496
          'en_x5' => {
4497
            'attributes' => {
4498
              'bin_pt' => 0,
4499
              'is_floating_block' => 1,
4500
              'must_be_hdl_vector' => 1,
4501
              'period' => 1,
4502
              'port_id' => 0,
4503
              'simulinkName' => 'INOUT_LOGIC/en',
4504
              'type' => 'Bool',
4505
            },
4506
            'direction' => 'out',
4507
            'hdlType' => 'std_logic',
4508
            'width' => 1,
4509
          },
4510
          'en_x6' => {
4511
            'attributes' => {
4512
              'bin_pt' => 0,
4513
              'is_floating_block' => 1,
4514
              'must_be_hdl_vector' => 1,
4515
              'period' => 1,
4516
              'port_id' => 0,
4517
              'simulinkName' => 'INOUT_LOGIC/en',
4518
              'type' => 'Bool',
4519
            },
4520
            'direction' => 'out',
4521
            'hdlType' => 'std_logic',
4522
            'width' => 1,
4523
          },
4524
          'en_x7' => {
4525
            'attributes' => {
4526
              'bin_pt' => 0,
4527
              'is_floating_block' => 1,
4528
              'must_be_hdl_vector' => 1,
4529
              'period' => 1,
4530
              'port_id' => 0,
4531
              'simulinkName' => 'INOUT_LOGIC/en',
4532
              'type' => 'Bool',
4533
            },
4534
            'direction' => 'out',
4535
            'hdlType' => 'std_logic',
4536
            'width' => 1,
4537
          },
4538
          'en_x8' => {
4539
            'attributes' => {
4540
              'bin_pt' => 0,
4541
              'is_floating_block' => 1,
4542
              'must_be_hdl_vector' => 1,
4543
              'period' => 1,
4544
              'port_id' => 0,
4545
              'simulinkName' => 'INOUT_LOGIC/en',
4546
              'type' => 'Bool',
4547
            },
4548
            'direction' => 'out',
4549
            'hdlType' => 'std_logic',
4550
            'width' => 1,
4551
          },
4552
          'en_x9' => {
4553
            'attributes' => {
4554
              'bin_pt' => 0,
4555
              'is_floating_block' => 1,
4556
              'must_be_hdl_vector' => 1,
4557
              'period' => 1,
4558
              'port_id' => 0,
4559
              'simulinkName' => 'INOUT_LOGIC/en',
4560
              'type' => 'Bool',
4561
            },
4562
            'direction' => 'out',
4563
            'hdlType' => 'std_logic',
4564
            'width' => 1,
4565
          },
4566
          'reg01_rd' => {
4567
            'attributes' => {
4568
              'bin_pt' => 0,
4569
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
4570
              'is_floating_block' => 1,
4571
              'is_gateway_port' => 1,
4572
              'must_be_hdl_vector' => 1,
4573
              'period' => 1,
4574
              'port_id' => 0,
4575
              'simulinkName' => 'INOUT_LOGIC/reg01_rd',
4576
              'source_block' => 'INOUT_LOGIC',
4577
              'timingConstraint' => 'none',
4578
              'type' => 'UFix_32_0',
4579
            },
4580
            'direction' => 'out',
4581
            'hdlType' => 'std_logic_vector(31 downto 0)',
4582
            'width' => 32,
4583
          },
4584
          'reg01_rv' => {
4585
            'attributes' => {
4586
              'bin_pt' => 0,
4587
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
4588
              'is_floating_block' => 1,
4589
              'is_gateway_port' => 1,
4590
              'must_be_hdl_vector' => 1,
4591
              'period' => 1,
4592
              'port_id' => 0,
4593
              'simulinkName' => 'INOUT_LOGIC/reg01_rv',
4594
              'source_block' => 'INOUT_LOGIC',
4595
              'timingConstraint' => 'none',
4596
              'type' => 'UFix_1_0',
4597
            },
4598
            'direction' => 'out',
4599
            'hdlType' => 'std_logic',
4600
            'width' => 1,
4601
          },
4602
          'reg01_td' => {
4603
            'attributes' => {
4604
              'bin_pt' => 0,
4605
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
4606
              'is_floating_block' => 1,
4607
              'is_gateway_port' => 1,
4608
              'must_be_hdl_vector' => 1,
4609
              'period' => 1,
4610
              'port_id' => 0,
4611
              'simulinkName' => 'INOUT_LOGIC/reg01_td',
4612
              'source_block' => 'INOUT_LOGIC',
4613
              'timingConstraint' => 'none',
4614
              'type' => 'UFix_32_0',
4615
            },
4616
            'direction' => 'in',
4617
            'hdlType' => 'std_logic_vector(31 downto 0)',
4618
            'width' => 32,
4619
          },
4620
          'reg01_tv' => {
4621
            'attributes' => {
4622
              'bin_pt' => 0,
4623
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
4624
              'is_floating_block' => 1,
4625
              'is_gateway_port' => 1,
4626
              'must_be_hdl_vector' => 1,
4627
              'period' => 1,
4628
              'port_id' => 0,
4629
              'simulinkName' => 'INOUT_LOGIC/reg01_tv',
4630
              'source_block' => 'INOUT_LOGIC',
4631
              'timingConstraint' => 'none',
4632
              'type' => 'Bool',
4633
            },
4634
            'direction' => 'in',
4635
            'hdlType' => 'std_logic',
4636
            'width' => 1,
4637
          },
4638
          'reg02_rd' => {
4639
            'attributes' => {
4640
              'bin_pt' => 0,
4641
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
4642
              'is_floating_block' => 1,
4643
              'is_gateway_port' => 1,
4644
              'must_be_hdl_vector' => 1,
4645
              'period' => 1,
4646
              'port_id' => 0,
4647
              'simulinkName' => 'INOUT_LOGIC/reg02_rd',
4648
              'source_block' => 'INOUT_LOGIC',
4649
              'timingConstraint' => 'none',
4650
              'type' => 'UFix_32_0',
4651
            },
4652
            'direction' => 'out',
4653
            'hdlType' => 'std_logic_vector(31 downto 0)',
4654
            'width' => 32,
4655
          },
4656
          'reg02_rv' => {
4657
            'attributes' => {
4658
              'bin_pt' => 0,
4659
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
4660
              'is_floating_block' => 1,
4661
              'is_gateway_port' => 1,
4662
              'must_be_hdl_vector' => 1,
4663
              'period' => 1,
4664
              'port_id' => 0,
4665
              'simulinkName' => 'INOUT_LOGIC/reg02_rv',
4666
              'source_block' => 'INOUT_LOGIC',
4667
              'timingConstraint' => 'none',
4668
              'type' => 'UFix_1_0',
4669
            },
4670
            'direction' => 'out',
4671
            'hdlType' => 'std_logic',
4672
            'width' => 1,
4673
          },
4674
          'reg02_td' => {
4675
            'attributes' => {
4676
              'bin_pt' => 0,
4677
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
4678
              'is_floating_block' => 1,
4679
              'is_gateway_port' => 1,
4680
              'must_be_hdl_vector' => 1,
4681
              'period' => 1,
4682
              'port_id' => 0,
4683
              'simulinkName' => 'INOUT_LOGIC/reg02_td',
4684
              'source_block' => 'INOUT_LOGIC',
4685
              'timingConstraint' => 'none',
4686
              'type' => 'UFix_32_0',
4687
            },
4688
            'direction' => 'in',
4689
            'hdlType' => 'std_logic_vector(31 downto 0)',
4690
            'width' => 32,
4691
          },
4692
          'reg02_tv' => {
4693
            'attributes' => {
4694
              'bin_pt' => 0,
4695
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
4696
              'is_floating_block' => 1,
4697
              'is_gateway_port' => 1,
4698
              'must_be_hdl_vector' => 1,
4699
              'period' => 1,
4700
              'port_id' => 0,
4701
              'simulinkName' => 'INOUT_LOGIC/reg02_tv',
4702
              'source_block' => 'INOUT_LOGIC',
4703
              'timingConstraint' => 'none',
4704
              'type' => 'Bool',
4705
            },
4706
            'direction' => 'in',
4707
            'hdlType' => 'std_logic',
4708
            'width' => 1,
4709
          },
4710
          'reg03_rd' => {
4711
            'attributes' => {
4712
              'bin_pt' => 0,
4713
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
4714
              'is_floating_block' => 1,
4715
              'is_gateway_port' => 1,
4716
              'must_be_hdl_vector' => 1,
4717
              'period' => 1,
4718
              'port_id' => 0,
4719
              'simulinkName' => 'INOUT_LOGIC/reg03_rd',
4720
              'source_block' => 'INOUT_LOGIC',
4721
              'timingConstraint' => 'none',
4722
              'type' => 'UFix_32_0',
4723
            },
4724
            'direction' => 'out',
4725
            'hdlType' => 'std_logic_vector(31 downto 0)',
4726
            'width' => 32,
4727
          },
4728
          'reg03_rv' => {
4729
            'attributes' => {
4730
              'bin_pt' => 0,
4731
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
4732
              'is_floating_block' => 1,
4733
              'is_gateway_port' => 1,
4734
              'must_be_hdl_vector' => 1,
4735
              'period' => 1,
4736
              'port_id' => 0,
4737
              'simulinkName' => 'INOUT_LOGIC/reg03_rv',
4738
              'source_block' => 'INOUT_LOGIC',
4739
              'timingConstraint' => 'none',
4740
              'type' => 'UFix_1_0',
4741
            },
4742
            'direction' => 'out',
4743
            'hdlType' => 'std_logic',
4744
            'width' => 1,
4745
          },
4746
          'reg03_td' => {
4747
            'attributes' => {
4748
              'bin_pt' => 0,
4749
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
4750
              'is_floating_block' => 1,
4751
              'is_gateway_port' => 1,
4752
              'must_be_hdl_vector' => 1,
4753
              'period' => 1,
4754
              'port_id' => 0,
4755
              'simulinkName' => 'INOUT_LOGIC/reg03_td',
4756
              'source_block' => 'INOUT_LOGIC',
4757
              'timingConstraint' => 'none',
4758
              'type' => 'UFix_32_0',
4759
            },
4760
            'direction' => 'in',
4761
            'hdlType' => 'std_logic_vector(31 downto 0)',
4762
            'width' => 32,
4763
          },
4764
          'reg03_tv' => {
4765
            'attributes' => {
4766
              'bin_pt' => 0,
4767
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
4768
              'is_floating_block' => 1,
4769
              'is_gateway_port' => 1,
4770
              'must_be_hdl_vector' => 1,
4771
              'period' => 1,
4772
              'port_id' => 0,
4773
              'simulinkName' => 'INOUT_LOGIC/reg03_tv',
4774
              'source_block' => 'INOUT_LOGIC',
4775
              'timingConstraint' => 'none',
4776
              'type' => 'Bool',
4777
            },
4778
            'direction' => 'in',
4779
            'hdlType' => 'std_logic',
4780
            'width' => 1,
4781
          },
4782
          'reg04_rd' => {
4783
            'attributes' => {
4784
              'bin_pt' => 0,
4785
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
4786
              'is_floating_block' => 1,
4787
              'is_gateway_port' => 1,
4788
              'must_be_hdl_vector' => 1,
4789
              'period' => 1,
4790
              'port_id' => 0,
4791
              'simulinkName' => 'INOUT_LOGIC/reg04_rd',
4792
              'source_block' => 'INOUT_LOGIC',
4793
              'timingConstraint' => 'none',
4794
              'type' => 'UFix_32_0',
4795
            },
4796
            'direction' => 'out',
4797
            'hdlType' => 'std_logic_vector(31 downto 0)',
4798
            'width' => 32,
4799
          },
4800
          'reg04_rv' => {
4801
            'attributes' => {
4802
              'bin_pt' => 0,
4803
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
4804
              'is_floating_block' => 1,
4805
              'is_gateway_port' => 1,
4806
              'must_be_hdl_vector' => 1,
4807
              'period' => 1,
4808
              'port_id' => 0,
4809
              'simulinkName' => 'INOUT_LOGIC/reg04_rv',
4810
              'source_block' => 'INOUT_LOGIC',
4811
              'timingConstraint' => 'none',
4812
              'type' => 'UFix_1_0',
4813
            },
4814
            'direction' => 'out',
4815
            'hdlType' => 'std_logic',
4816
            'width' => 1,
4817
          },
4818
          'reg04_td' => {
4819
            'attributes' => {
4820
              'bin_pt' => 0,
4821
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
4822
              'is_floating_block' => 1,
4823
              'is_gateway_port' => 1,
4824
              'must_be_hdl_vector' => 1,
4825
              'period' => 1,
4826
              'port_id' => 0,
4827
              'simulinkName' => 'INOUT_LOGIC/reg04_td',
4828
              'source_block' => 'INOUT_LOGIC',
4829
              'timingConstraint' => 'none',
4830
              'type' => 'UFix_32_0',
4831
            },
4832
            'direction' => 'in',
4833
            'hdlType' => 'std_logic_vector(31 downto 0)',
4834
            'width' => 32,
4835
          },
4836
          'reg04_tv' => {
4837
            'attributes' => {
4838
              'bin_pt' => 0,
4839
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
4840
              'is_floating_block' => 1,
4841
              'is_gateway_port' => 1,
4842
              'must_be_hdl_vector' => 1,
4843
              'period' => 1,
4844
              'port_id' => 0,
4845
              'simulinkName' => 'INOUT_LOGIC/reg04_tv',
4846
              'source_block' => 'INOUT_LOGIC',
4847
              'timingConstraint' => 'none',
4848
              'type' => 'Bool',
4849
            },
4850
            'direction' => 'in',
4851
            'hdlType' => 'std_logic',
4852
            'width' => 1,
4853
          },
4854
          'reg05_rd' => {
4855
            'attributes' => {
4856
              'bin_pt' => 0,
4857
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
4858
              'is_floating_block' => 1,
4859
              'is_gateway_port' => 1,
4860
              'must_be_hdl_vector' => 1,
4861
              'period' => 1,
4862
              'port_id' => 0,
4863
              'simulinkName' => 'INOUT_LOGIC/reg05_rd',
4864
              'source_block' => 'INOUT_LOGIC',
4865
              'timingConstraint' => 'none',
4866
              'type' => 'UFix_32_0',
4867
            },
4868
            'direction' => 'out',
4869
            'hdlType' => 'std_logic_vector(31 downto 0)',
4870
            'width' => 32,
4871
          },
4872
          'reg05_rv' => {
4873
            'attributes' => {
4874
              'bin_pt' => 0,
4875
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
4876
              'is_floating_block' => 1,
4877
              'is_gateway_port' => 1,
4878
              'must_be_hdl_vector' => 1,
4879
              'period' => 1,
4880
              'port_id' => 0,
4881
              'simulinkName' => 'INOUT_LOGIC/reg05_rv',
4882
              'source_block' => 'INOUT_LOGIC',
4883
              'timingConstraint' => 'none',
4884
              'type' => 'UFix_1_0',
4885
            },
4886
            'direction' => 'out',
4887
            'hdlType' => 'std_logic',
4888
            'width' => 1,
4889
          },
4890
          'reg05_td' => {
4891
            'attributes' => {
4892
              'bin_pt' => 0,
4893
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
4894
              'is_floating_block' => 1,
4895
              'is_gateway_port' => 1,
4896
              'must_be_hdl_vector' => 1,
4897
              'period' => 1,
4898
              'port_id' => 0,
4899
              'simulinkName' => 'INOUT_LOGIC/reg05_td',
4900
              'source_block' => 'INOUT_LOGIC',
4901
              'timingConstraint' => 'none',
4902
              'type' => 'UFix_32_0',
4903
            },
4904
            'direction' => 'in',
4905
            'hdlType' => 'std_logic_vector(31 downto 0)',
4906
            'width' => 32,
4907
          },
4908
          'reg05_tv' => {
4909
            'attributes' => {
4910
              'bin_pt' => 0,
4911
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
4912
              'is_floating_block' => 1,
4913
              'is_gateway_port' => 1,
4914
              'must_be_hdl_vector' => 1,
4915
              'period' => 1,
4916
              'port_id' => 0,
4917
              'simulinkName' => 'INOUT_LOGIC/reg05_tv',
4918
              'source_block' => 'INOUT_LOGIC',
4919
              'timingConstraint' => 'none',
4920
              'type' => 'Bool',
4921
            },
4922
            'direction' => 'in',
4923
            'hdlType' => 'std_logic',
4924
            'width' => 1,
4925
          },
4926
          'reg06_rd' => {
4927
            'attributes' => {
4928
              'bin_pt' => 0,
4929
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
4930
              'is_floating_block' => 1,
4931
              'is_gateway_port' => 1,
4932
              'must_be_hdl_vector' => 1,
4933
              'period' => 1,
4934
              'port_id' => 0,
4935
              'simulinkName' => 'INOUT_LOGIC/reg06_rd',
4936
              'source_block' => 'INOUT_LOGIC',
4937
              'timingConstraint' => 'none',
4938
              'type' => 'UFix_32_0',
4939
            },
4940
            'direction' => 'out',
4941
            'hdlType' => 'std_logic_vector(31 downto 0)',
4942
            'width' => 32,
4943
          },
4944
          'reg06_rv' => {
4945
            'attributes' => {
4946
              'bin_pt' => 0,
4947
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
4948
              'is_floating_block' => 1,
4949
              'is_gateway_port' => 1,
4950
              'must_be_hdl_vector' => 1,
4951
              'period' => 1,
4952
              'port_id' => 0,
4953
              'simulinkName' => 'INOUT_LOGIC/reg06_rv',
4954
              'source_block' => 'INOUT_LOGIC',
4955
              'timingConstraint' => 'none',
4956
              'type' => 'UFix_1_0',
4957
            },
4958
            'direction' => 'out',
4959
            'hdlType' => 'std_logic',
4960
            'width' => 1,
4961
          },
4962
          'reg06_td' => {
4963
            'attributes' => {
4964
              'bin_pt' => 0,
4965
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
4966
              'is_floating_block' => 1,
4967
              'is_gateway_port' => 1,
4968
              'must_be_hdl_vector' => 1,
4969
              'period' => 1,
4970
              'port_id' => 0,
4971
              'simulinkName' => 'INOUT_LOGIC/reg06_td',
4972
              'source_block' => 'INOUT_LOGIC',
4973
              'timingConstraint' => 'none',
4974
              'type' => 'UFix_32_0',
4975
            },
4976
            'direction' => 'in',
4977
            'hdlType' => 'std_logic_vector(31 downto 0)',
4978
            'width' => 32,
4979
          },
4980
          'reg06_tv' => {
4981
            'attributes' => {
4982
              'bin_pt' => 0,
4983
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
4984
              'is_floating_block' => 1,
4985
              'is_gateway_port' => 1,
4986
              'must_be_hdl_vector' => 1,
4987
              'period' => 1,
4988
              'port_id' => 0,
4989
              'simulinkName' => 'INOUT_LOGIC/reg06_tv',
4990
              'source_block' => 'INOUT_LOGIC',
4991
              'timingConstraint' => 'none',
4992
              'type' => 'Bool',
4993
            },
4994
            'direction' => 'in',
4995
            'hdlType' => 'std_logic',
4996
            'width' => 1,
4997
          },
4998
          'reg07_rd' => {
4999
            'attributes' => {
5000
              'bin_pt' => 0,
5001
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
5002
              'is_floating_block' => 1,
5003
              'is_gateway_port' => 1,
5004
              'must_be_hdl_vector' => 1,
5005
              'period' => 1,
5006
              'port_id' => 0,
5007
              'simulinkName' => 'INOUT_LOGIC/reg07_rd',
5008
              'source_block' => 'INOUT_LOGIC',
5009
              'timingConstraint' => 'none',
5010
              'type' => 'UFix_32_0',
5011
            },
5012
            'direction' => 'out',
5013
            'hdlType' => 'std_logic_vector(31 downto 0)',
5014
            'width' => 32,
5015
          },
5016
          'reg07_rv' => {
5017
            'attributes' => {
5018
              'bin_pt' => 0,
5019
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
5020
              'is_floating_block' => 1,
5021
              'is_gateway_port' => 1,
5022
              'must_be_hdl_vector' => 1,
5023
              'period' => 1,
5024
              'port_id' => 0,
5025
              'simulinkName' => 'INOUT_LOGIC/reg07_rv',
5026
              'source_block' => 'INOUT_LOGIC',
5027
              'timingConstraint' => 'none',
5028
              'type' => 'UFix_1_0',
5029
            },
5030
            'direction' => 'out',
5031
            'hdlType' => 'std_logic',
5032
            'width' => 1,
5033
          },
5034
          'reg07_td' => {
5035
            'attributes' => {
5036
              'bin_pt' => 0,
5037
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
5038
              'is_floating_block' => 1,
5039
              'is_gateway_port' => 1,
5040
              'must_be_hdl_vector' => 1,
5041
              'period' => 1,
5042
              'port_id' => 0,
5043
              'simulinkName' => 'INOUT_LOGIC/reg07_td',
5044
              'source_block' => 'INOUT_LOGIC',
5045
              'timingConstraint' => 'none',
5046
              'type' => 'UFix_32_0',
5047
            },
5048
            'direction' => 'in',
5049
            'hdlType' => 'std_logic_vector(31 downto 0)',
5050
            'width' => 32,
5051
          },
5052
          'reg07_tv' => {
5053
            'attributes' => {
5054
              'bin_pt' => 0,
5055
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
5056
              'is_floating_block' => 1,
5057
              'is_gateway_port' => 1,
5058
              'must_be_hdl_vector' => 1,
5059
              'period' => 1,
5060
              'port_id' => 0,
5061
              'simulinkName' => 'INOUT_LOGIC/reg07_tv',
5062
              'source_block' => 'INOUT_LOGIC',
5063
              'timingConstraint' => 'none',
5064
              'type' => 'Bool',
5065
            },
5066
            'direction' => 'in',
5067
            'hdlType' => 'std_logic',
5068
            'width' => 1,
5069
          },
5070
          'reg08_rd' => {
5071
            'attributes' => {
5072
              'bin_pt' => 0,
5073
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
5074
              'is_floating_block' => 1,
5075
              'is_gateway_port' => 1,
5076
              'must_be_hdl_vector' => 1,
5077
              'period' => 1,
5078
              'port_id' => 0,
5079
              'simulinkName' => 'INOUT_LOGIC/reg08_rd',
5080
              'source_block' => 'INOUT_LOGIC',
5081
              'timingConstraint' => 'none',
5082
              'type' => 'UFix_32_0',
5083
            },
5084
            'direction' => 'out',
5085
            'hdlType' => 'std_logic_vector(31 downto 0)',
5086
            'width' => 32,
5087
          },
5088
          'reg08_rv' => {
5089
            'attributes' => {
5090
              'bin_pt' => 0,
5091
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
5092
              'is_floating_block' => 1,
5093
              'is_gateway_port' => 1,
5094
              'must_be_hdl_vector' => 1,
5095
              'period' => 1,
5096
              'port_id' => 0,
5097
              'simulinkName' => 'INOUT_LOGIC/reg08_rv',
5098
              'source_block' => 'INOUT_LOGIC',
5099
              'timingConstraint' => 'none',
5100
              'type' => 'UFix_1_0',
5101
            },
5102
            'direction' => 'out',
5103
            'hdlType' => 'std_logic',
5104
            'width' => 1,
5105
          },
5106
          'reg08_td' => {
5107
            'attributes' => {
5108
              'bin_pt' => 0,
5109
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
5110
              'is_floating_block' => 1,
5111
              'is_gateway_port' => 1,
5112
              'must_be_hdl_vector' => 1,
5113
              'period' => 1,
5114
              'port_id' => 0,
5115
              'simulinkName' => 'INOUT_LOGIC/reg08_td',
5116
              'source_block' => 'INOUT_LOGIC',
5117
              'timingConstraint' => 'none',
5118
              'type' => 'UFix_32_0',
5119
            },
5120
            'direction' => 'in',
5121
            'hdlType' => 'std_logic_vector(31 downto 0)',
5122
            'width' => 32,
5123
          },
5124
          'reg08_tv' => {
5125
            'attributes' => {
5126
              'bin_pt' => 0,
5127
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
5128
              'is_floating_block' => 1,
5129
              'is_gateway_port' => 1,
5130
              'must_be_hdl_vector' => 1,
5131
              'period' => 1,
5132
              'port_id' => 0,
5133
              'simulinkName' => 'INOUT_LOGIC/reg08_tv',
5134
              'source_block' => 'INOUT_LOGIC',
5135
              'timingConstraint' => 'none',
5136
              'type' => 'Bool',
5137
            },
5138
            'direction' => 'in',
5139
            'hdlType' => 'std_logic',
5140
            'width' => 1,
5141
          },
5142
          'reg09_rd' => {
5143
            'attributes' => {
5144
              'bin_pt' => 0,
5145
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
5146
              'is_floating_block' => 1,
5147
              'is_gateway_port' => 1,
5148
              'must_be_hdl_vector' => 1,
5149
              'period' => 1,
5150
              'port_id' => 0,
5151
              'simulinkName' => 'INOUT_LOGIC/reg09_rd',
5152
              'source_block' => 'INOUT_LOGIC',
5153
              'timingConstraint' => 'none',
5154
              'type' => 'UFix_32_0',
5155
            },
5156
            'direction' => 'out',
5157
            'hdlType' => 'std_logic_vector(31 downto 0)',
5158
            'width' => 32,
5159
          },
5160
          'reg09_rv' => {
5161
            'attributes' => {
5162
              'bin_pt' => 0,
5163
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
5164
              'is_floating_block' => 1,
5165
              'is_gateway_port' => 1,
5166
              'must_be_hdl_vector' => 1,
5167
              'period' => 1,
5168
              'port_id' => 0,
5169
              'simulinkName' => 'INOUT_LOGIC/reg09_rv',
5170
              'source_block' => 'INOUT_LOGIC',
5171
              'timingConstraint' => 'none',
5172
              'type' => 'UFix_1_0',
5173
            },
5174
            'direction' => 'out',
5175
            'hdlType' => 'std_logic',
5176
            'width' => 1,
5177
          },
5178
          'reg09_td' => {
5179
            'attributes' => {
5180
              'bin_pt' => 0,
5181
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
5182
              'is_floating_block' => 1,
5183
              'is_gateway_port' => 1,
5184
              'must_be_hdl_vector' => 1,
5185
              'period' => 1,
5186
              'port_id' => 0,
5187
              'simulinkName' => 'INOUT_LOGIC/reg09_td',
5188
              'source_block' => 'INOUT_LOGIC',
5189
              'timingConstraint' => 'none',
5190
              'type' => 'UFix_32_0',
5191
            },
5192
            'direction' => 'in',
5193
            'hdlType' => 'std_logic_vector(31 downto 0)',
5194
            'width' => 32,
5195
          },
5196
          'reg09_tv' => {
5197
            'attributes' => {
5198
              'bin_pt' => 0,
5199
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
5200
              'is_floating_block' => 1,
5201
              'is_gateway_port' => 1,
5202
              'must_be_hdl_vector' => 1,
5203
              'period' => 1,
5204
              'port_id' => 0,
5205
              'simulinkName' => 'INOUT_LOGIC/reg09_tv',
5206
              'source_block' => 'INOUT_LOGIC',
5207
              'timingConstraint' => 'none',
5208
              'type' => 'Bool',
5209
            },
5210
            'direction' => 'in',
5211
            'hdlType' => 'std_logic',
5212
            'width' => 1,
5213
          },
5214
          'reg10_rd' => {
5215
            'attributes' => {
5216
              'bin_pt' => 0,
5217
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
5218
              'is_floating_block' => 1,
5219
              'is_gateway_port' => 1,
5220
              'must_be_hdl_vector' => 1,
5221
              'period' => 1,
5222
              'port_id' => 0,
5223
              'simulinkName' => 'INOUT_LOGIC/reg10_rd',
5224
              'source_block' => 'INOUT_LOGIC',
5225
              'timingConstraint' => 'none',
5226
              'type' => 'UFix_32_0',
5227
            },
5228
            'direction' => 'out',
5229
            'hdlType' => 'std_logic_vector(31 downto 0)',
5230
            'width' => 32,
5231
          },
5232
          'reg10_rv' => {
5233
            'attributes' => {
5234
              'bin_pt' => 0,
5235
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
5236
              'is_floating_block' => 1,
5237
              'is_gateway_port' => 1,
5238
              'must_be_hdl_vector' => 1,
5239
              'period' => 1,
5240
              'port_id' => 0,
5241
              'simulinkName' => 'INOUT_LOGIC/reg10_rv',
5242
              'source_block' => 'INOUT_LOGIC',
5243
              'timingConstraint' => 'none',
5244
              'type' => 'UFix_1_0',
5245
            },
5246
            'direction' => 'out',
5247
            'hdlType' => 'std_logic',
5248
            'width' => 1,
5249
          },
5250
          'reg10_td' => {
5251
            'attributes' => {
5252
              'bin_pt' => 0,
5253
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
5254
              'is_floating_block' => 1,
5255
              'is_gateway_port' => 1,
5256
              'must_be_hdl_vector' => 1,
5257
              'period' => 1,
5258
              'port_id' => 0,
5259
              'simulinkName' => 'INOUT_LOGIC/reg10_td',
5260
              'source_block' => 'INOUT_LOGIC',
5261
              'timingConstraint' => 'none',
5262
              'type' => 'UFix_32_0',
5263
            },
5264
            'direction' => 'in',
5265
            'hdlType' => 'std_logic_vector(31 downto 0)',
5266
            'width' => 32,
5267
          },
5268
          'reg10_tv' => {
5269
            'attributes' => {
5270
              'bin_pt' => 0,
5271
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
5272
              'is_floating_block' => 1,
5273
              'is_gateway_port' => 1,
5274
              'must_be_hdl_vector' => 1,
5275
              'period' => 1,
5276
              'port_id' => 0,
5277
              'simulinkName' => 'INOUT_LOGIC/reg10_tv',
5278
              'source_block' => 'INOUT_LOGIC',
5279
              'timingConstraint' => 'none',
5280
              'type' => 'Bool',
5281
            },
5282
            'direction' => 'in',
5283
            'hdlType' => 'std_logic',
5284
            'width' => 1,
5285
          },
5286
          'reg11_rd' => {
5287
            'attributes' => {
5288
              'bin_pt' => 0,
5289
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
5290
              'is_floating_block' => 1,
5291
              'is_gateway_port' => 1,
5292
              'must_be_hdl_vector' => 1,
5293
              'period' => 1,
5294
              'port_id' => 0,
5295
              'simulinkName' => 'INOUT_LOGIC/reg11_rd',
5296
              'source_block' => 'INOUT_LOGIC',
5297
              'timingConstraint' => 'none',
5298
              'type' => 'UFix_32_0',
5299
            },
5300
            'direction' => 'out',
5301
            'hdlType' => 'std_logic_vector(31 downto 0)',
5302
            'width' => 32,
5303
          },
5304
          'reg11_rv' => {
5305
            'attributes' => {
5306
              'bin_pt' => 0,
5307
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
5308
              'is_floating_block' => 1,
5309
              'is_gateway_port' => 1,
5310
              'must_be_hdl_vector' => 1,
5311
              'period' => 1,
5312
              'port_id' => 0,
5313
              'simulinkName' => 'INOUT_LOGIC/reg11_rv',
5314
              'source_block' => 'INOUT_LOGIC',
5315
              'timingConstraint' => 'none',
5316
              'type' => 'UFix_1_0',
5317
            },
5318
            'direction' => 'out',
5319
            'hdlType' => 'std_logic',
5320
            'width' => 1,
5321
          },
5322
          'reg11_td' => {
5323
            'attributes' => {
5324
              'bin_pt' => 0,
5325
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
5326
              'is_floating_block' => 1,
5327
              'is_gateway_port' => 1,
5328
              'must_be_hdl_vector' => 1,
5329
              'period' => 1,
5330
              'port_id' => 0,
5331
              'simulinkName' => 'INOUT_LOGIC/reg11_td',
5332
              'source_block' => 'INOUT_LOGIC',
5333
              'timingConstraint' => 'none',
5334
              'type' => 'UFix_32_0',
5335
            },
5336
            'direction' => 'in',
5337
            'hdlType' => 'std_logic_vector(31 downto 0)',
5338
            'width' => 32,
5339
          },
5340
          'reg11_tv' => {
5341
            'attributes' => {
5342
              'bin_pt' => 0,
5343
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
5344
              'is_floating_block' => 1,
5345
              'is_gateway_port' => 1,
5346
              'must_be_hdl_vector' => 1,
5347
              'period' => 1,
5348
              'port_id' => 0,
5349
              'simulinkName' => 'INOUT_LOGIC/reg11_tv',
5350
              'source_block' => 'INOUT_LOGIC',
5351
              'timingConstraint' => 'none',
5352
              'type' => 'Bool',
5353
            },
5354
            'direction' => 'in',
5355
            'hdlType' => 'std_logic',
5356
            'width' => 1,
5357
          },
5358
          'reg12_rd' => {
5359
            'attributes' => {
5360
              'bin_pt' => 0,
5361
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
5362
              'is_floating_block' => 1,
5363
              'is_gateway_port' => 1,
5364
              'must_be_hdl_vector' => 1,
5365
              'period' => 1,
5366
              'port_id' => 0,
5367
              'simulinkName' => 'INOUT_LOGIC/reg12_rd',
5368
              'source_block' => 'INOUT_LOGIC',
5369
              'timingConstraint' => 'none',
5370
              'type' => 'UFix_32_0',
5371
            },
5372
            'direction' => 'out',
5373
            'hdlType' => 'std_logic_vector(31 downto 0)',
5374
            'width' => 32,
5375
          },
5376
          'reg12_rv' => {
5377
            'attributes' => {
5378
              'bin_pt' => 0,
5379
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
5380
              'is_floating_block' => 1,
5381
              'is_gateway_port' => 1,
5382
              'must_be_hdl_vector' => 1,
5383
              'period' => 1,
5384
              'port_id' => 0,
5385
              'simulinkName' => 'INOUT_LOGIC/reg12_rv',
5386
              'source_block' => 'INOUT_LOGIC',
5387
              'timingConstraint' => 'none',
5388
              'type' => 'UFix_1_0',
5389
            },
5390
            'direction' => 'out',
5391
            'hdlType' => 'std_logic',
5392
            'width' => 1,
5393
          },
5394
          'reg12_td' => {
5395
            'attributes' => {
5396
              'bin_pt' => 0,
5397
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
5398
              'is_floating_block' => 1,
5399
              'is_gateway_port' => 1,
5400
              'must_be_hdl_vector' => 1,
5401
              'period' => 1,
5402
              'port_id' => 0,
5403
              'simulinkName' => 'INOUT_LOGIC/reg12_td',
5404
              'source_block' => 'INOUT_LOGIC',
5405
              'timingConstraint' => 'none',
5406
              'type' => 'UFix_32_0',
5407
            },
5408
            'direction' => 'in',
5409
            'hdlType' => 'std_logic_vector(31 downto 0)',
5410
            'width' => 32,
5411
          },
5412
          'reg12_tv' => {
5413
            'attributes' => {
5414
              'bin_pt' => 0,
5415
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
5416
              'is_floating_block' => 1,
5417
              'is_gateway_port' => 1,
5418
              'must_be_hdl_vector' => 1,
5419
              'period' => 1,
5420
              'port_id' => 0,
5421
              'simulinkName' => 'INOUT_LOGIC/reg12_tv',
5422
              'source_block' => 'INOUT_LOGIC',
5423
              'timingConstraint' => 'none',
5424
              'type' => 'Bool',
5425
            },
5426
            'direction' => 'in',
5427
            'hdlType' => 'std_logic',
5428
            'width' => 1,
5429
          },
5430
          'reg13_rd' => {
5431
            'attributes' => {
5432
              'bin_pt' => 0,
5433
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
5434
              'is_floating_block' => 1,
5435
              'is_gateway_port' => 1,
5436
              'must_be_hdl_vector' => 1,
5437
              'period' => 1,
5438
              'port_id' => 0,
5439
              'simulinkName' => 'INOUT_LOGIC/reg13_rd',
5440
              'source_block' => 'INOUT_LOGIC',
5441
              'timingConstraint' => 'none',
5442
              'type' => 'UFix_32_0',
5443
            },
5444
            'direction' => 'out',
5445
            'hdlType' => 'std_logic_vector(31 downto 0)',
5446
            'width' => 32,
5447
          },
5448
          'reg13_rv' => {
5449
            'attributes' => {
5450
              'bin_pt' => 0,
5451
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
5452
              'is_floating_block' => 1,
5453
              'is_gateway_port' => 1,
5454
              'must_be_hdl_vector' => 1,
5455
              'period' => 1,
5456
              'port_id' => 0,
5457
              'simulinkName' => 'INOUT_LOGIC/reg13_rv',
5458
              'source_block' => 'INOUT_LOGIC',
5459
              'timingConstraint' => 'none',
5460
              'type' => 'UFix_1_0',
5461
            },
5462
            'direction' => 'out',
5463
            'hdlType' => 'std_logic',
5464
            'width' => 1,
5465
          },
5466
          'reg13_td' => {
5467
            'attributes' => {
5468
              'bin_pt' => 0,
5469
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
5470
              'is_floating_block' => 1,
5471
              'is_gateway_port' => 1,
5472
              'must_be_hdl_vector' => 1,
5473
              'period' => 1,
5474
              'port_id' => 0,
5475
              'simulinkName' => 'INOUT_LOGIC/reg13_td',
5476
              'source_block' => 'INOUT_LOGIC',
5477
              'timingConstraint' => 'none',
5478
              'type' => 'UFix_32_0',
5479
            },
5480
            'direction' => 'in',
5481
            'hdlType' => 'std_logic_vector(31 downto 0)',
5482
            'width' => 32,
5483
          },
5484
          'reg13_tv' => {
5485
            'attributes' => {
5486
              'bin_pt' => 0,
5487
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
5488
              'is_floating_block' => 1,
5489
              'is_gateway_port' => 1,
5490
              'must_be_hdl_vector' => 1,
5491
              'period' => 1,
5492
              'port_id' => 0,
5493
              'simulinkName' => 'INOUT_LOGIC/reg13_tv',
5494
              'source_block' => 'INOUT_LOGIC',
5495
              'timingConstraint' => 'none',
5496
              'type' => 'Bool',
5497
            },
5498
            'direction' => 'in',
5499
            'hdlType' => 'std_logic',
5500
            'width' => 1,
5501
          },
5502
          'reg14_rd' => {
5503
            'attributes' => {
5504
              'bin_pt' => 0,
5505
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
5506
              'is_floating_block' => 1,
5507
              'is_gateway_port' => 1,
5508
              'must_be_hdl_vector' => 1,
5509
              'period' => 1,
5510
              'port_id' => 0,
5511
              'simulinkName' => 'INOUT_LOGIC/reg14_rd',
5512
              'source_block' => 'INOUT_LOGIC',
5513
              'timingConstraint' => 'none',
5514
              'type' => 'UFix_32_0',
5515
            },
5516
            'direction' => 'out',
5517
            'hdlType' => 'std_logic_vector(31 downto 0)',
5518
            'width' => 32,
5519
          },
5520
          'reg14_rv' => {
5521
            'attributes' => {
5522
              'bin_pt' => 0,
5523
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
5524
              'is_floating_block' => 1,
5525
              'is_gateway_port' => 1,
5526
              'must_be_hdl_vector' => 1,
5527
              'period' => 1,
5528
              'port_id' => 0,
5529
              'simulinkName' => 'INOUT_LOGIC/reg14_rv',
5530
              'source_block' => 'INOUT_LOGIC',
5531
              'timingConstraint' => 'none',
5532
              'type' => 'UFix_1_0',
5533
            },
5534
            'direction' => 'out',
5535
            'hdlType' => 'std_logic',
5536
            'width' => 1,
5537
          },
5538
          'reg14_td' => {
5539
            'attributes' => {
5540
              'bin_pt' => 0,
5541
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
5542
              'is_floating_block' => 1,
5543
              'is_gateway_port' => 1,
5544
              'must_be_hdl_vector' => 1,
5545
              'period' => 1,
5546
              'port_id' => 0,
5547
              'simulinkName' => 'INOUT_LOGIC/reg14_td',
5548
              'source_block' => 'INOUT_LOGIC',
5549
              'timingConstraint' => 'none',
5550
              'type' => 'UFix_32_0',
5551
            },
5552
            'direction' => 'in',
5553
            'hdlType' => 'std_logic_vector(31 downto 0)',
5554
            'width' => 32,
5555
          },
5556
          'reg14_tv' => {
5557
            'attributes' => {
5558
              'bin_pt' => 0,
5559
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
5560
              'is_floating_block' => 1,
5561
              'is_gateway_port' => 1,
5562
              'must_be_hdl_vector' => 1,
5563
              'period' => 1,
5564
              'port_id' => 0,
5565
              'simulinkName' => 'INOUT_LOGIC/reg14_tv',
5566
              'source_block' => 'INOUT_LOGIC',
5567
              'timingConstraint' => 'none',
5568
              'type' => 'Bool',
5569
            },
5570
            'direction' => 'in',
5571
            'hdlType' => 'std_logic',
5572
            'width' => 1,
5573
          },
5574
        },
5575
      },
5576
      'entityName' => 'inout_logic',
5577
    },
5578
    'reg01_rd' => {
5579
      'connections' => {
5580
        'reg01_rd' => 'from_register3_data_out_net_x0',
5581
      },
5582
      'entity' => {
5583
        'attributes' => {
5584
          'isGateway' => 1,
5585
          'is_floating_block' => 1,
5586
        },
5587
        'entityName' => 'reg01_rd',
5588
        'ports' => {
5589
          'reg01_rd' => {
5590
            'attributes' => {
5591
              'bin_pt' => 0,
5592
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
5593
              'is_floating_block' => 1,
5594
              'is_gateway_port' => 1,
5595
              'must_be_hdl_vector' => 1,
5596
              'period' => 1,
5597
              'port_id' => 0,
5598
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd/reg01_rd',
5599
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd',
5600
              'timingConstraint' => 'none',
5601
              'type' => 'UFix_32_0',
5602
            },
5603
            'direction' => 'in',
5604
            'hdlType' => 'std_logic_vector(31 downto 0)',
5605
            'width' => 32,
5606
          },
5607
        },
5608
      },
5609
      'entityName' => 'reg01_rd',
5610
    },
5611
    'reg01_rv' => {
5612
      'connections' => {
5613
        'reg01_rv' => 'from_register1_data_out_net_x0',
5614
      },
5615
      'entity' => {
5616
        'attributes' => {
5617
          'isGateway' => 1,
5618
          'is_floating_block' => 1,
5619
        },
5620
        'entityName' => 'reg01_rv',
5621
        'ports' => {
5622
          'reg01_rv' => {
5623
            'attributes' => {
5624
              'bin_pt' => 0,
5625
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
5626
              'is_floating_block' => 1,
5627
              'is_gateway_port' => 1,
5628
              'must_be_hdl_vector' => 1,
5629
              'period' => 1,
5630
              'port_id' => 0,
5631
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv/reg01_rv',
5632
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv',
5633
              'timingConstraint' => 'none',
5634
              'type' => 'UFix_1_0',
5635
            },
5636
            'direction' => 'in',
5637
            'hdlType' => 'std_logic',
5638
            'width' => 1,
5639
          },
5640
        },
5641
      },
5642
      'entityName' => 'reg01_rv',
5643
    },
5644
    'reg01_td' => {
5645
      'connections' => {
5646
        'reg01_td' => 'reg01_td_net',
5647
      },
5648
      'entity' => {
5649
        'attributes' => {
5650
          'isGateway' => 1,
5651
          'is_floating_block' => 1,
5652
        },
5653
        'entityName' => 'reg01_td',
5654
        'ports' => {
5655
          'reg01_td' => {
5656
            'attributes' => {
5657
              'bin_pt' => 0,
5658
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
5659
              'is_floating_block' => 1,
5660
              'is_gateway_port' => 1,
5661
              'must_be_hdl_vector' => 1,
5662
              'period' => 1,
5663
              'port_id' => 0,
5664
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td/reg01_td',
5665
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td',
5666
              'timingConstraint' => 'none',
5667
              'type' => 'UFix_32_0',
5668
            },
5669
            'direction' => 'out',
5670
            'hdlType' => 'std_logic_vector(31 downto 0)',
5671
            'width' => 32,
5672
          },
5673
        },
5674
      },
5675
      'entityName' => 'reg01_td',
5676
    },
5677
    'reg01_tv' => {
5678
      'connections' => {
5679
        'reg01_tv' => 'reg01_tv_net',
5680
      },
5681
      'entity' => {
5682
        'attributes' => {
5683
          'isGateway' => 1,
5684
          'is_floating_block' => 1,
5685
        },
5686
        'entityName' => 'reg01_tv',
5687
        'ports' => {
5688
          'reg01_tv' => {
5689
            'attributes' => {
5690
              'bin_pt' => 0,
5691
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
5692
              'is_floating_block' => 1,
5693
              'is_gateway_port' => 1,
5694
              'must_be_hdl_vector' => 1,
5695
              'period' => 1,
5696
              'port_id' => 0,
5697
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv/reg01_tv',
5698
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv',
5699
              'timingConstraint' => 'none',
5700
              'type' => 'Bool',
5701
            },
5702
            'direction' => 'out',
5703
            'hdlType' => 'std_logic',
5704
            'width' => 1,
5705
          },
5706
        },
5707
      },
5708
      'entityName' => 'reg01_tv',
5709
    },
5710
    'reg02_rd' => {
5711
      'connections' => {
5712
        'reg02_rd' => 'from_register5_data_out_net_x0',
5713
      },
5714
      'entity' => {
5715
        'attributes' => {
5716
          'isGateway' => 1,
5717
          'is_floating_block' => 1,
5718
        },
5719
        'entityName' => 'reg02_rd',
5720
        'ports' => {
5721
          'reg02_rd' => {
5722
            'attributes' => {
5723
              'bin_pt' => 0,
5724
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
5725
              'is_floating_block' => 1,
5726
              'is_gateway_port' => 1,
5727
              'must_be_hdl_vector' => 1,
5728
              'period' => 1,
5729
              'port_id' => 0,
5730
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd/reg02_rd',
5731
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd',
5732
              'timingConstraint' => 'none',
5733
              'type' => 'UFix_32_0',
5734
            },
5735
            'direction' => 'in',
5736
            'hdlType' => 'std_logic_vector(31 downto 0)',
5737
            'width' => 32,
5738
          },
5739
        },
5740
      },
5741
      'entityName' => 'reg02_rd',
5742
    },
5743
    'reg02_rv' => {
5744
      'connections' => {
5745
        'reg02_rv' => 'from_register2_data_out_net_x0',
5746
      },
5747
      'entity' => {
5748
        'attributes' => {
5749
          'isGateway' => 1,
5750
          'is_floating_block' => 1,
5751
        },
5752
        'entityName' => 'reg02_rv',
5753
        'ports' => {
5754
          'reg02_rv' => {
5755
            'attributes' => {
5756
              'bin_pt' => 0,
5757
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
5758
              'is_floating_block' => 1,
5759
              'is_gateway_port' => 1,
5760
              'must_be_hdl_vector' => 1,
5761
              'period' => 1,
5762
              'port_id' => 0,
5763
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv/reg02_rv',
5764
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv',
5765
              'timingConstraint' => 'none',
5766
              'type' => 'UFix_1_0',
5767
            },
5768
            'direction' => 'in',
5769
            'hdlType' => 'std_logic',
5770
            'width' => 1,
5771
          },
5772
        },
5773
      },
5774
      'entityName' => 'reg02_rv',
5775
    },
5776
    'reg02_td' => {
5777
      'connections' => {
5778
        'reg02_td' => 'reg02_td_net',
5779
      },
5780
      'entity' => {
5781
        'attributes' => {
5782
          'isGateway' => 1,
5783
          'is_floating_block' => 1,
5784
        },
5785
        'entityName' => 'reg02_td',
5786
        'ports' => {
5787
          'reg02_td' => {
5788
            'attributes' => {
5789
              'bin_pt' => 0,
5790
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
5791
              'is_floating_block' => 1,
5792
              'is_gateway_port' => 1,
5793
              'must_be_hdl_vector' => 1,
5794
              'period' => 1,
5795
              'port_id' => 0,
5796
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td/reg02_td',
5797
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td',
5798
              'timingConstraint' => 'none',
5799
              'type' => 'UFix_32_0',
5800
            },
5801
            'direction' => 'out',
5802
            'hdlType' => 'std_logic_vector(31 downto 0)',
5803
            'width' => 32,
5804
          },
5805
        },
5806
      },
5807
      'entityName' => 'reg02_td',
5808
    },
5809
    'reg02_tv' => {
5810
      'connections' => {
5811
        'reg02_tv' => 'reg02_tv_net',
5812
      },
5813
      'entity' => {
5814
        'attributes' => {
5815
          'isGateway' => 1,
5816
          'is_floating_block' => 1,
5817
        },
5818
        'entityName' => 'reg02_tv',
5819
        'ports' => {
5820
          'reg02_tv' => {
5821
            'attributes' => {
5822
              'bin_pt' => 0,
5823
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
5824
              'is_floating_block' => 1,
5825
              'is_gateway_port' => 1,
5826
              'must_be_hdl_vector' => 1,
5827
              'period' => 1,
5828
              'port_id' => 0,
5829
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv/reg02_tv',
5830
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv',
5831
              'timingConstraint' => 'none',
5832
              'type' => 'Bool',
5833
            },
5834
            'direction' => 'out',
5835
            'hdlType' => 'std_logic',
5836
            'width' => 1,
5837
          },
5838
        },
5839
      },
5840
      'entityName' => 'reg02_tv',
5841
    },
5842
    'reg03_rd' => {
5843
      'connections' => {
5844
        'reg03_rd' => 'from_register7_data_out_net_x0',
5845
      },
5846
      'entity' => {
5847
        'attributes' => {
5848
          'isGateway' => 1,
5849
          'is_floating_block' => 1,
5850
        },
5851
        'entityName' => 'reg03_rd',
5852
        'ports' => {
5853
          'reg03_rd' => {
5854
            'attributes' => {
5855
              'bin_pt' => 0,
5856
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
5857
              'is_floating_block' => 1,
5858
              'is_gateway_port' => 1,
5859
              'must_be_hdl_vector' => 1,
5860
              'period' => 1,
5861
              'port_id' => 0,
5862
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd/reg03_rd',
5863
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd',
5864
              'timingConstraint' => 'none',
5865
              'type' => 'UFix_32_0',
5866
            },
5867
            'direction' => 'in',
5868
            'hdlType' => 'std_logic_vector(31 downto 0)',
5869
            'width' => 32,
5870
          },
5871
        },
5872
      },
5873
      'entityName' => 'reg03_rd',
5874
    },
5875
    'reg03_rv' => {
5876
      'connections' => {
5877
        'reg03_rv' => 'from_register6_data_out_net_x0',
5878
      },
5879
      'entity' => {
5880
        'attributes' => {
5881
          'isGateway' => 1,
5882
          'is_floating_block' => 1,
5883
        },
5884
        'entityName' => 'reg03_rv',
5885
        'ports' => {
5886
          'reg03_rv' => {
5887
            'attributes' => {
5888
              'bin_pt' => 0,
5889
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
5890
              'is_floating_block' => 1,
5891
              'is_gateway_port' => 1,
5892
              'must_be_hdl_vector' => 1,
5893
              'period' => 1,
5894
              'port_id' => 0,
5895
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv/reg03_rv',
5896
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv',
5897
              'timingConstraint' => 'none',
5898
              'type' => 'UFix_1_0',
5899
            },
5900
            'direction' => 'in',
5901
            'hdlType' => 'std_logic',
5902
            'width' => 1,
5903
          },
5904
        },
5905
      },
5906
      'entityName' => 'reg03_rv',
5907
    },
5908
    'reg03_td' => {
5909
      'connections' => {
5910
        'reg03_td' => 'reg03_td_net',
5911
      },
5912
      'entity' => {
5913
        'attributes' => {
5914
          'isGateway' => 1,
5915
          'is_floating_block' => 1,
5916
        },
5917
        'entityName' => 'reg03_td',
5918
        'ports' => {
5919
          'reg03_td' => {
5920
            'attributes' => {
5921
              'bin_pt' => 0,
5922
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
5923
              'is_floating_block' => 1,
5924
              'is_gateway_port' => 1,
5925
              'must_be_hdl_vector' => 1,
5926
              'period' => 1,
5927
              'port_id' => 0,
5928
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td/reg03_td',
5929
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td',
5930
              'timingConstraint' => 'none',
5931
              'type' => 'UFix_32_0',
5932
            },
5933
            'direction' => 'out',
5934
            'hdlType' => 'std_logic_vector(31 downto 0)',
5935
            'width' => 32,
5936
          },
5937
        },
5938
      },
5939
      'entityName' => 'reg03_td',
5940
    },
5941
    'reg03_tv' => {
5942
      'connections' => {
5943
        'reg03_tv' => 'reg03_tv_net',
5944
      },
5945
      'entity' => {
5946
        'attributes' => {
5947
          'isGateway' => 1,
5948
          'is_floating_block' => 1,
5949
        },
5950
        'entityName' => 'reg03_tv',
5951
        'ports' => {
5952
          'reg03_tv' => {
5953
            'attributes' => {
5954
              'bin_pt' => 0,
5955
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
5956
              'is_floating_block' => 1,
5957
              'is_gateway_port' => 1,
5958
              'must_be_hdl_vector' => 1,
5959
              'period' => 1,
5960
              'port_id' => 0,
5961
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv/reg03_tv',
5962
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv',
5963
              'timingConstraint' => 'none',
5964
              'type' => 'Bool',
5965
            },
5966
            'direction' => 'out',
5967
            'hdlType' => 'std_logic',
5968
            'width' => 1,
5969
          },
5970
        },
5971
      },
5972
      'entityName' => 'reg03_tv',
5973
    },
5974
    'reg04_rd' => {
5975
      'connections' => {
5976
        'reg04_rd' => 'from_register8_data_out_net_x0',
5977
      },
5978
      'entity' => {
5979
        'attributes' => {
5980
          'isGateway' => 1,
5981
          'is_floating_block' => 1,
5982
        },
5983
        'entityName' => 'reg04_rd',
5984
        'ports' => {
5985
          'reg04_rd' => {
5986
            'attributes' => {
5987
              'bin_pt' => 0,
5988
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
5989
              'is_floating_block' => 1,
5990
              'is_gateway_port' => 1,
5991
              'must_be_hdl_vector' => 1,
5992
              'period' => 1,
5993
              'port_id' => 0,
5994
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd/reg04_rd',
5995
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd',
5996
              'timingConstraint' => 'none',
5997
              'type' => 'UFix_32_0',
5998
            },
5999
            'direction' => 'in',
6000
            'hdlType' => 'std_logic_vector(31 downto 0)',
6001
            'width' => 32,
6002
          },
6003
        },
6004
      },
6005
      'entityName' => 'reg04_rd',
6006
    },
6007
    'reg04_rv' => {
6008
      'connections' => {
6009
        'reg04_rv' => 'from_register4_data_out_net_x0',
6010
      },
6011
      'entity' => {
6012
        'attributes' => {
6013
          'isGateway' => 1,
6014
          'is_floating_block' => 1,
6015
        },
6016
        'entityName' => 'reg04_rv',
6017
        'ports' => {
6018
          'reg04_rv' => {
6019
            'attributes' => {
6020
              'bin_pt' => 0,
6021
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
6022
              'is_floating_block' => 1,
6023
              'is_gateway_port' => 1,
6024
              'must_be_hdl_vector' => 1,
6025
              'period' => 1,
6026
              'port_id' => 0,
6027
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv/reg04_rv',
6028
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv',
6029
              'timingConstraint' => 'none',
6030
              'type' => 'UFix_1_0',
6031
            },
6032
            'direction' => 'in',
6033
            'hdlType' => 'std_logic',
6034
            'width' => 1,
6035
          },
6036
        },
6037
      },
6038
      'entityName' => 'reg04_rv',
6039
    },
6040
    'reg04_td' => {
6041
      'connections' => {
6042
        'reg04_td' => 'reg04_td_net',
6043
      },
6044
      'entity' => {
6045
        'attributes' => {
6046
          'isGateway' => 1,
6047
          'is_floating_block' => 1,
6048
        },
6049
        'entityName' => 'reg04_td',
6050
        'ports' => {
6051
          'reg04_td' => {
6052
            'attributes' => {
6053
              'bin_pt' => 0,
6054
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
6055
              'is_floating_block' => 1,
6056
              'is_gateway_port' => 1,
6057
              'must_be_hdl_vector' => 1,
6058
              'period' => 1,
6059
              'port_id' => 0,
6060
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td/reg04_td',
6061
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td',
6062
              'timingConstraint' => 'none',
6063
              'type' => 'UFix_32_0',
6064
            },
6065
            'direction' => 'out',
6066
            'hdlType' => 'std_logic_vector(31 downto 0)',
6067
            'width' => 32,
6068
          },
6069
        },
6070
      },
6071
      'entityName' => 'reg04_td',
6072
    },
6073
    'reg04_tv' => {
6074
      'connections' => {
6075
        'reg04_tv' => 'reg04_tv_net',
6076
      },
6077
      'entity' => {
6078
        'attributes' => {
6079
          'isGateway' => 1,
6080
          'is_floating_block' => 1,
6081
        },
6082
        'entityName' => 'reg04_tv',
6083
        'ports' => {
6084
          'reg04_tv' => {
6085
            'attributes' => {
6086
              'bin_pt' => 0,
6087
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
6088
              'is_floating_block' => 1,
6089
              'is_gateway_port' => 1,
6090
              'must_be_hdl_vector' => 1,
6091
              'period' => 1,
6092
              'port_id' => 0,
6093
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv/reg04_tv',
6094
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv',
6095
              'timingConstraint' => 'none',
6096
              'type' => 'Bool',
6097
            },
6098
            'direction' => 'out',
6099
            'hdlType' => 'std_logic',
6100
            'width' => 1,
6101
          },
6102
        },
6103
      },
6104
      'entityName' => 'reg04_tv',
6105
    },
6106
    'reg05_rd' => {
6107
      'connections' => {
6108
        'reg05_rd' => 'from_register10_data_out_net_x0',
6109
      },
6110
      'entity' => {
6111
        'attributes' => {
6112
          'isGateway' => 1,
6113
          'is_floating_block' => 1,
6114
        },
6115
        'entityName' => 'reg05_rd',
6116
        'ports' => {
6117
          'reg05_rd' => {
6118
            'attributes' => {
6119
              'bin_pt' => 0,
6120
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
6121
              'is_floating_block' => 1,
6122
              'is_gateway_port' => 1,
6123
              'must_be_hdl_vector' => 1,
6124
              'period' => 1,
6125
              'port_id' => 0,
6126
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd/reg05_rd',
6127
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd',
6128
              'timingConstraint' => 'none',
6129
              'type' => 'UFix_32_0',
6130
            },
6131
            'direction' => 'in',
6132
            'hdlType' => 'std_logic_vector(31 downto 0)',
6133
            'width' => 32,
6134
          },
6135
        },
6136
      },
6137
      'entityName' => 'reg05_rd',
6138
    },
6139
    'reg05_rv' => {
6140
      'connections' => {
6141
        'reg05_rv' => 'from_register9_data_out_net_x0',
6142
      },
6143
      'entity' => {
6144
        'attributes' => {
6145
          'isGateway' => 1,
6146
          'is_floating_block' => 1,
6147
        },
6148
        'entityName' => 'reg05_rv',
6149
        'ports' => {
6150
          'reg05_rv' => {
6151
            'attributes' => {
6152
              'bin_pt' => 0,
6153
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
6154
              'is_floating_block' => 1,
6155
              'is_gateway_port' => 1,
6156
              'must_be_hdl_vector' => 1,
6157
              'period' => 1,
6158
              'port_id' => 0,
6159
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv/reg05_rv',
6160
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv',
6161
              'timingConstraint' => 'none',
6162
              'type' => 'UFix_1_0',
6163
            },
6164
            'direction' => 'in',
6165
            'hdlType' => 'std_logic',
6166
            'width' => 1,
6167
          },
6168
        },
6169
      },
6170
      'entityName' => 'reg05_rv',
6171
    },
6172
    'reg05_td' => {
6173
      'connections' => {
6174
        'reg05_td' => 'reg05_td_net',
6175
      },
6176
      'entity' => {
6177
        'attributes' => {
6178
          'isGateway' => 1,
6179
          'is_floating_block' => 1,
6180
        },
6181
        'entityName' => 'reg05_td',
6182
        'ports' => {
6183
          'reg05_td' => {
6184
            'attributes' => {
6185
              'bin_pt' => 0,
6186
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
6187
              'is_floating_block' => 1,
6188
              'is_gateway_port' => 1,
6189
              'must_be_hdl_vector' => 1,
6190
              'period' => 1,
6191
              'port_id' => 0,
6192
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td/reg05_td',
6193
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td',
6194
              'timingConstraint' => 'none',
6195
              'type' => 'UFix_32_0',
6196
            },
6197
            'direction' => 'out',
6198
            'hdlType' => 'std_logic_vector(31 downto 0)',
6199
            'width' => 32,
6200
          },
6201
        },
6202
      },
6203
      'entityName' => 'reg05_td',
6204
    },
6205
    'reg05_tv' => {
6206
      'connections' => {
6207
        'reg05_tv' => 'reg05_tv_net',
6208
      },
6209
      'entity' => {
6210
        'attributes' => {
6211
          'isGateway' => 1,
6212
          'is_floating_block' => 1,
6213
        },
6214
        'entityName' => 'reg05_tv',
6215
        'ports' => {
6216
          'reg05_tv' => {
6217
            'attributes' => {
6218
              'bin_pt' => 0,
6219
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
6220
              'is_floating_block' => 1,
6221
              'is_gateway_port' => 1,
6222
              'must_be_hdl_vector' => 1,
6223
              'period' => 1,
6224
              'port_id' => 0,
6225
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv/reg05_tv',
6226
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv',
6227
              'timingConstraint' => 'none',
6228
              'type' => 'Bool',
6229
            },
6230
            'direction' => 'out',
6231
            'hdlType' => 'std_logic',
6232
            'width' => 1,
6233
          },
6234
        },
6235
      },
6236
      'entityName' => 'reg05_tv',
6237
    },
6238
    'reg06_rd' => {
6239
      'connections' => {
6240
        'reg06_rd' => 'from_register11_data_out_net_x0',
6241
      },
6242
      'entity' => {
6243
        'attributes' => {
6244
          'isGateway' => 1,
6245
          'is_floating_block' => 1,
6246
        },
6247
        'entityName' => 'reg06_rd',
6248
        'ports' => {
6249
          'reg06_rd' => {
6250
            'attributes' => {
6251
              'bin_pt' => 0,
6252
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
6253
              'is_floating_block' => 1,
6254
              'is_gateway_port' => 1,
6255
              'must_be_hdl_vector' => 1,
6256
              'period' => 1,
6257
              'port_id' => 0,
6258
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd/reg06_rd',
6259
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd',
6260
              'timingConstraint' => 'none',
6261
              'type' => 'UFix_32_0',
6262
            },
6263
            'direction' => 'in',
6264
            'hdlType' => 'std_logic_vector(31 downto 0)',
6265
            'width' => 32,
6266
          },
6267
        },
6268
      },
6269
      'entityName' => 'reg06_rd',
6270
    },
6271
    'reg06_rv' => {
6272
      'connections' => {
6273
        'reg06_rv' => 'from_register12_data_out_net_x0',
6274
      },
6275
      'entity' => {
6276
        'attributes' => {
6277
          'isGateway' => 1,
6278
          'is_floating_block' => 1,
6279
        },
6280
        'entityName' => 'reg06_rv',
6281
        'ports' => {
6282
          'reg06_rv' => {
6283
            'attributes' => {
6284
              'bin_pt' => 0,
6285
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
6286
              'is_floating_block' => 1,
6287
              'is_gateway_port' => 1,
6288
              'must_be_hdl_vector' => 1,
6289
              'period' => 1,
6290
              'port_id' => 0,
6291
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv/reg06_rv',
6292
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv',
6293
              'timingConstraint' => 'none',
6294
              'type' => 'UFix_1_0',
6295
            },
6296
            'direction' => 'in',
6297
            'hdlType' => 'std_logic',
6298
            'width' => 1,
6299
          },
6300
        },
6301
      },
6302
      'entityName' => 'reg06_rv',
6303
    },
6304
    'reg06_td' => {
6305
      'connections' => {
6306
        'reg06_td' => 'reg06_td_net',
6307
      },
6308
      'entity' => {
6309
        'attributes' => {
6310
          'isGateway' => 1,
6311
          'is_floating_block' => 1,
6312
        },
6313
        'entityName' => 'reg06_td',
6314
        'ports' => {
6315
          'reg06_td' => {
6316
            'attributes' => {
6317
              'bin_pt' => 0,
6318
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
6319
              'is_floating_block' => 1,
6320
              'is_gateway_port' => 1,
6321
              'must_be_hdl_vector' => 1,
6322
              'period' => 1,
6323
              'port_id' => 0,
6324
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td/reg06_td',
6325
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td',
6326
              'timingConstraint' => 'none',
6327
              'type' => 'UFix_32_0',
6328
            },
6329
            'direction' => 'out',
6330
            'hdlType' => 'std_logic_vector(31 downto 0)',
6331
            'width' => 32,
6332
          },
6333
        },
6334
      },
6335
      'entityName' => 'reg06_td',
6336
    },
6337
    'reg06_tv' => {
6338
      'connections' => {
6339
        'reg06_tv' => 'reg06_tv_net',
6340
      },
6341
      'entity' => {
6342
        'attributes' => {
6343
          'isGateway' => 1,
6344
          'is_floating_block' => 1,
6345
        },
6346
        'entityName' => 'reg06_tv',
6347
        'ports' => {
6348
          'reg06_tv' => {
6349
            'attributes' => {
6350
              'bin_pt' => 0,
6351
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
6352
              'is_floating_block' => 1,
6353
              'is_gateway_port' => 1,
6354
              'must_be_hdl_vector' => 1,
6355
              'period' => 1,
6356
              'port_id' => 0,
6357
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv/reg06_tv',
6358
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv',
6359
              'timingConstraint' => 'none',
6360
              'type' => 'Bool',
6361
            },
6362
            'direction' => 'out',
6363
            'hdlType' => 'std_logic',
6364
            'width' => 1,
6365
          },
6366
        },
6367
      },
6368
      'entityName' => 'reg06_tv',
6369
    },
6370
    'reg07_rd' => {
6371
      'connections' => {
6372
        'reg07_rd' => 'from_register13_data_out_net_x0',
6373
      },
6374
      'entity' => {
6375
        'attributes' => {
6376
          'isGateway' => 1,
6377
          'is_floating_block' => 1,
6378
        },
6379
        'entityName' => 'reg07_rd',
6380
        'ports' => {
6381
          'reg07_rd' => {
6382
            'attributes' => {
6383
              'bin_pt' => 0,
6384
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
6385
              'is_floating_block' => 1,
6386
              'is_gateway_port' => 1,
6387
              'must_be_hdl_vector' => 1,
6388
              'period' => 1,
6389
              'port_id' => 0,
6390
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd/reg07_rd',
6391
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd',
6392
              'timingConstraint' => 'none',
6393
              'type' => 'UFix_32_0',
6394
            },
6395
            'direction' => 'in',
6396
            'hdlType' => 'std_logic_vector(31 downto 0)',
6397
            'width' => 32,
6398
          },
6399
        },
6400
      },
6401
      'entityName' => 'reg07_rd',
6402
    },
6403
    'reg07_rv' => {
6404
      'connections' => {
6405
        'reg07_rv' => 'from_register14_data_out_net_x0',
6406
      },
6407
      'entity' => {
6408
        'attributes' => {
6409
          'isGateway' => 1,
6410
          'is_floating_block' => 1,
6411
        },
6412
        'entityName' => 'reg07_rv',
6413
        'ports' => {
6414
          'reg07_rv' => {
6415
            'attributes' => {
6416
              'bin_pt' => 0,
6417
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
6418
              'is_floating_block' => 1,
6419
              'is_gateway_port' => 1,
6420
              'must_be_hdl_vector' => 1,
6421
              'period' => 1,
6422
              'port_id' => 0,
6423
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv/reg07_rv',
6424
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv',
6425
              'timingConstraint' => 'none',
6426
              'type' => 'UFix_1_0',
6427
            },
6428
            'direction' => 'in',
6429
            'hdlType' => 'std_logic',
6430
            'width' => 1,
6431
          },
6432
        },
6433
      },
6434
      'entityName' => 'reg07_rv',
6435
    },
6436
    'reg07_td' => {
6437
      'connections' => {
6438
        'reg07_td' => 'reg07_td_net',
6439
      },
6440
      'entity' => {
6441
        'attributes' => {
6442
          'isGateway' => 1,
6443
          'is_floating_block' => 1,
6444
        },
6445
        'entityName' => 'reg07_td',
6446
        'ports' => {
6447
          'reg07_td' => {
6448
            'attributes' => {
6449
              'bin_pt' => 0,
6450
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
6451
              'is_floating_block' => 1,
6452
              'is_gateway_port' => 1,
6453
              'must_be_hdl_vector' => 1,
6454
              'period' => 1,
6455
              'port_id' => 0,
6456
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td/reg07_td',
6457
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td',
6458
              'timingConstraint' => 'none',
6459
              'type' => 'UFix_32_0',
6460
            },
6461
            'direction' => 'out',
6462
            'hdlType' => 'std_logic_vector(31 downto 0)',
6463
            'width' => 32,
6464
          },
6465
        },
6466
      },
6467
      'entityName' => 'reg07_td',
6468
    },
6469
    'reg07_tv' => {
6470
      'connections' => {
6471
        'reg07_tv' => 'reg07_tv_net',
6472
      },
6473
      'entity' => {
6474
        'attributes' => {
6475
          'isGateway' => 1,
6476
          'is_floating_block' => 1,
6477
        },
6478
        'entityName' => 'reg07_tv',
6479
        'ports' => {
6480
          'reg07_tv' => {
6481
            'attributes' => {
6482
              'bin_pt' => 0,
6483
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
6484
              'is_floating_block' => 1,
6485
              'is_gateway_port' => 1,
6486
              'must_be_hdl_vector' => 1,
6487
              'period' => 1,
6488
              'port_id' => 0,
6489
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv/reg07_tv',
6490
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv',
6491
              'timingConstraint' => 'none',
6492
              'type' => 'Bool',
6493
            },
6494
            'direction' => 'out',
6495
            'hdlType' => 'std_logic',
6496
            'width' => 1,
6497
          },
6498
        },
6499
      },
6500
      'entityName' => 'reg07_tv',
6501
    },
6502
    'reg08_rd' => {
6503
      'connections' => {
6504
        'reg08_rd' => 'from_register15_data_out_net_x0',
6505
      },
6506
      'entity' => {
6507
        'attributes' => {
6508
          'isGateway' => 1,
6509
          'is_floating_block' => 1,
6510
        },
6511
        'entityName' => 'reg08_rd',
6512
        'ports' => {
6513
          'reg08_rd' => {
6514
            'attributes' => {
6515
              'bin_pt' => 0,
6516
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
6517
              'is_floating_block' => 1,
6518
              'is_gateway_port' => 1,
6519
              'must_be_hdl_vector' => 1,
6520
              'period' => 1,
6521
              'port_id' => 0,
6522
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd/reg08_rd',
6523
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd',
6524
              'timingConstraint' => 'none',
6525
              'type' => 'UFix_32_0',
6526
            },
6527
            'direction' => 'in',
6528
            'hdlType' => 'std_logic_vector(31 downto 0)',
6529
            'width' => 32,
6530
          },
6531
        },
6532
      },
6533
      'entityName' => 'reg08_rd',
6534
    },
6535
    'reg08_rv' => {
6536
      'connections' => {
6537
        'reg08_rv' => 'from_register16_data_out_net_x0',
6538
      },
6539
      'entity' => {
6540
        'attributes' => {
6541
          'isGateway' => 1,
6542
          'is_floating_block' => 1,
6543
        },
6544
        'entityName' => 'reg08_rv',
6545
        'ports' => {
6546
          'reg08_rv' => {
6547
            'attributes' => {
6548
              'bin_pt' => 0,
6549
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
6550
              'is_floating_block' => 1,
6551
              'is_gateway_port' => 1,
6552
              'must_be_hdl_vector' => 1,
6553
              'period' => 1,
6554
              'port_id' => 0,
6555
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv/reg08_rv',
6556
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv',
6557
              'timingConstraint' => 'none',
6558
              'type' => 'UFix_1_0',
6559
            },
6560
            'direction' => 'in',
6561
            'hdlType' => 'std_logic',
6562
            'width' => 1,
6563
          },
6564
        },
6565
      },
6566
      'entityName' => 'reg08_rv',
6567
    },
6568
    'reg08_td' => {
6569
      'connections' => {
6570
        'reg08_td' => 'reg08_td_net',
6571
      },
6572
      'entity' => {
6573
        'attributes' => {
6574
          'isGateway' => 1,
6575
          'is_floating_block' => 1,
6576
        },
6577
        'entityName' => 'reg08_td',
6578
        'ports' => {
6579
          'reg08_td' => {
6580
            'attributes' => {
6581
              'bin_pt' => 0,
6582
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
6583
              'is_floating_block' => 1,
6584
              'is_gateway_port' => 1,
6585
              'must_be_hdl_vector' => 1,
6586
              'period' => 1,
6587
              'port_id' => 0,
6588
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td/reg08_td',
6589
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td',
6590
              'timingConstraint' => 'none',
6591
              'type' => 'UFix_32_0',
6592
            },
6593
            'direction' => 'out',
6594
            'hdlType' => 'std_logic_vector(31 downto 0)',
6595
            'width' => 32,
6596
          },
6597
        },
6598
      },
6599
      'entityName' => 'reg08_td',
6600
    },
6601
    'reg08_tv' => {
6602
      'connections' => {
6603
        'reg08_tv' => 'reg08_tv_net',
6604
      },
6605
      'entity' => {
6606
        'attributes' => {
6607
          'isGateway' => 1,
6608
          'is_floating_block' => 1,
6609
        },
6610
        'entityName' => 'reg08_tv',
6611
        'ports' => {
6612
          'reg08_tv' => {
6613
            'attributes' => {
6614
              'bin_pt' => 0,
6615
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
6616
              'is_floating_block' => 1,
6617
              'is_gateway_port' => 1,
6618
              'must_be_hdl_vector' => 1,
6619
              'period' => 1,
6620
              'port_id' => 0,
6621
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv/reg08_tv',
6622
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv',
6623
              'timingConstraint' => 'none',
6624
              'type' => 'Bool',
6625
            },
6626
            'direction' => 'out',
6627
            'hdlType' => 'std_logic',
6628
            'width' => 1,
6629
          },
6630
        },
6631
      },
6632
      'entityName' => 'reg08_tv',
6633
    },
6634
    'reg09_rd' => {
6635
      'connections' => {
6636
        'reg09_rd' => 'from_register17_data_out_net_x0',
6637
      },
6638
      'entity' => {
6639
        'attributes' => {
6640
          'isGateway' => 1,
6641
          'is_floating_block' => 1,
6642
        },
6643
        'entityName' => 'reg09_rd',
6644
        'ports' => {
6645
          'reg09_rd' => {
6646
            'attributes' => {
6647
              'bin_pt' => 0,
6648
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
6649
              'is_floating_block' => 1,
6650
              'is_gateway_port' => 1,
6651
              'must_be_hdl_vector' => 1,
6652
              'period' => 1,
6653
              'port_id' => 0,
6654
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd/reg09_rd',
6655
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd',
6656
              'timingConstraint' => 'none',
6657
              'type' => 'UFix_32_0',
6658
            },
6659
            'direction' => 'in',
6660
            'hdlType' => 'std_logic_vector(31 downto 0)',
6661
            'width' => 32,
6662
          },
6663
        },
6664
      },
6665
      'entityName' => 'reg09_rd',
6666
    },
6667
    'reg09_rv' => {
6668
      'connections' => {
6669
        'reg09_rv' => 'from_register18_data_out_net_x0',
6670
      },
6671
      'entity' => {
6672
        'attributes' => {
6673
          'isGateway' => 1,
6674
          'is_floating_block' => 1,
6675
        },
6676
        'entityName' => 'reg09_rv',
6677
        'ports' => {
6678
          'reg09_rv' => {
6679
            'attributes' => {
6680
              'bin_pt' => 0,
6681
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
6682
              'is_floating_block' => 1,
6683
              'is_gateway_port' => 1,
6684
              'must_be_hdl_vector' => 1,
6685
              'period' => 1,
6686
              'port_id' => 0,
6687
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv/reg09_rv',
6688
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv',
6689
              'timingConstraint' => 'none',
6690
              'type' => 'UFix_1_0',
6691
            },
6692
            'direction' => 'in',
6693
            'hdlType' => 'std_logic',
6694
            'width' => 1,
6695
          },
6696
        },
6697
      },
6698
      'entityName' => 'reg09_rv',
6699
    },
6700
    'reg09_td' => {
6701
      'connections' => {
6702
        'reg09_td' => 'reg09_td_net',
6703
      },
6704
      'entity' => {
6705
        'attributes' => {
6706
          'isGateway' => 1,
6707
          'is_floating_block' => 1,
6708
        },
6709
        'entityName' => 'reg09_td',
6710
        'ports' => {
6711
          'reg09_td' => {
6712
            'attributes' => {
6713
              'bin_pt' => 0,
6714
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
6715
              'is_floating_block' => 1,
6716
              'is_gateway_port' => 1,
6717
              'must_be_hdl_vector' => 1,
6718
              'period' => 1,
6719
              'port_id' => 0,
6720
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td/reg09_td',
6721
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td',
6722
              'timingConstraint' => 'none',
6723
              'type' => 'UFix_32_0',
6724
            },
6725
            'direction' => 'out',
6726
            'hdlType' => 'std_logic_vector(31 downto 0)',
6727
            'width' => 32,
6728
          },
6729
        },
6730
      },
6731
      'entityName' => 'reg09_td',
6732
    },
6733
    'reg09_tv' => {
6734
      'connections' => {
6735
        'reg09_tv' => 'reg09_tv_net',
6736
      },
6737
      'entity' => {
6738
        'attributes' => {
6739
          'isGateway' => 1,
6740
          'is_floating_block' => 1,
6741
        },
6742
        'entityName' => 'reg09_tv',
6743
        'ports' => {
6744
          'reg09_tv' => {
6745
            'attributes' => {
6746
              'bin_pt' => 0,
6747
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
6748
              'is_floating_block' => 1,
6749
              'is_gateway_port' => 1,
6750
              'must_be_hdl_vector' => 1,
6751
              'period' => 1,
6752
              'port_id' => 0,
6753
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv/reg09_tv',
6754
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv',
6755
              'timingConstraint' => 'none',
6756
              'type' => 'Bool',
6757
            },
6758
            'direction' => 'out',
6759
            'hdlType' => 'std_logic',
6760
            'width' => 1,
6761
          },
6762
        },
6763
      },
6764
      'entityName' => 'reg09_tv',
6765
    },
6766
    'reg10_rd' => {
6767
      'connections' => {
6768
        'reg10_rd' => 'from_register19_data_out_net_x0',
6769
      },
6770
      'entity' => {
6771
        'attributes' => {
6772
          'isGateway' => 1,
6773
          'is_floating_block' => 1,
6774
        },
6775
        'entityName' => 'reg10_rd',
6776
        'ports' => {
6777
          'reg10_rd' => {
6778
            'attributes' => {
6779
              'bin_pt' => 0,
6780
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
6781
              'is_floating_block' => 1,
6782
              'is_gateway_port' => 1,
6783
              'must_be_hdl_vector' => 1,
6784
              'period' => 1,
6785
              'port_id' => 0,
6786
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd/reg10_rd',
6787
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd',
6788
              'timingConstraint' => 'none',
6789
              'type' => 'UFix_32_0',
6790
            },
6791
            'direction' => 'in',
6792
            'hdlType' => 'std_logic_vector(31 downto 0)',
6793
            'width' => 32,
6794
          },
6795
        },
6796
      },
6797
      'entityName' => 'reg10_rd',
6798
    },
6799
    'reg10_rv' => {
6800
      'connections' => {
6801
        'reg10_rv' => 'from_register20_data_out_net_x0',
6802
      },
6803
      'entity' => {
6804
        'attributes' => {
6805
          'isGateway' => 1,
6806
          'is_floating_block' => 1,
6807
        },
6808
        'entityName' => 'reg10_rv',
6809
        'ports' => {
6810
          'reg10_rv' => {
6811
            'attributes' => {
6812
              'bin_pt' => 0,
6813
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
6814
              'is_floating_block' => 1,
6815
              'is_gateway_port' => 1,
6816
              'must_be_hdl_vector' => 1,
6817
              'period' => 1,
6818
              'port_id' => 0,
6819
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv/reg10_rv',
6820
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv',
6821
              'timingConstraint' => 'none',
6822
              'type' => 'UFix_1_0',
6823
            },
6824
            'direction' => 'in',
6825
            'hdlType' => 'std_logic',
6826
            'width' => 1,
6827
          },
6828
        },
6829
      },
6830
      'entityName' => 'reg10_rv',
6831
    },
6832
    'reg10_td' => {
6833
      'connections' => {
6834
        'reg10_td' => 'reg10_td_net',
6835
      },
6836
      'entity' => {
6837
        'attributes' => {
6838
          'isGateway' => 1,
6839
          'is_floating_block' => 1,
6840
        },
6841
        'entityName' => 'reg10_td',
6842
        'ports' => {
6843
          'reg10_td' => {
6844
            'attributes' => {
6845
              'bin_pt' => 0,
6846
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
6847
              'is_floating_block' => 1,
6848
              'is_gateway_port' => 1,
6849
              'must_be_hdl_vector' => 1,
6850
              'period' => 1,
6851
              'port_id' => 0,
6852
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td/reg10_td',
6853
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td',
6854
              'timingConstraint' => 'none',
6855
              'type' => 'UFix_32_0',
6856
            },
6857
            'direction' => 'out',
6858
            'hdlType' => 'std_logic_vector(31 downto 0)',
6859
            'width' => 32,
6860
          },
6861
        },
6862
      },
6863
      'entityName' => 'reg10_td',
6864
    },
6865
    'reg10_tv' => {
6866
      'connections' => {
6867
        'reg10_tv' => 'reg10_tv_net',
6868
      },
6869
      'entity' => {
6870
        'attributes' => {
6871
          'isGateway' => 1,
6872
          'is_floating_block' => 1,
6873
        },
6874
        'entityName' => 'reg10_tv',
6875
        'ports' => {
6876
          'reg10_tv' => {
6877
            'attributes' => {
6878
              'bin_pt' => 0,
6879
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
6880
              'is_floating_block' => 1,
6881
              'is_gateway_port' => 1,
6882
              'must_be_hdl_vector' => 1,
6883
              'period' => 1,
6884
              'port_id' => 0,
6885
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv/reg10_tv',
6886
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv',
6887
              'timingConstraint' => 'none',
6888
              'type' => 'Bool',
6889
            },
6890
            'direction' => 'out',
6891
            'hdlType' => 'std_logic',
6892
            'width' => 1,
6893
          },
6894
        },
6895
      },
6896
      'entityName' => 'reg10_tv',
6897
    },
6898
    'reg11_rd' => {
6899
      'connections' => {
6900
        'reg11_rd' => 'from_register21_data_out_net_x0',
6901
      },
6902
      'entity' => {
6903
        'attributes' => {
6904
          'isGateway' => 1,
6905
          'is_floating_block' => 1,
6906
        },
6907
        'entityName' => 'reg11_rd',
6908
        'ports' => {
6909
          'reg11_rd' => {
6910
            'attributes' => {
6911
              'bin_pt' => 0,
6912
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
6913
              'is_floating_block' => 1,
6914
              'is_gateway_port' => 1,
6915
              'must_be_hdl_vector' => 1,
6916
              'period' => 1,
6917
              'port_id' => 0,
6918
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd/reg11_rd',
6919
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd',
6920
              'timingConstraint' => 'none',
6921
              'type' => 'UFix_32_0',
6922
            },
6923
            'direction' => 'in',
6924
            'hdlType' => 'std_logic_vector(31 downto 0)',
6925
            'width' => 32,
6926
          },
6927
        },
6928
      },
6929
      'entityName' => 'reg11_rd',
6930
    },
6931
    'reg11_rv' => {
6932
      'connections' => {
6933
        'reg11_rv' => 'from_register22_data_out_net_x0',
6934
      },
6935
      'entity' => {
6936
        'attributes' => {
6937
          'isGateway' => 1,
6938
          'is_floating_block' => 1,
6939
        },
6940
        'entityName' => 'reg11_rv',
6941
        'ports' => {
6942
          'reg11_rv' => {
6943
            'attributes' => {
6944
              'bin_pt' => 0,
6945
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
6946
              'is_floating_block' => 1,
6947
              'is_gateway_port' => 1,
6948
              'must_be_hdl_vector' => 1,
6949
              'period' => 1,
6950
              'port_id' => 0,
6951
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv/reg11_rv',
6952
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv',
6953
              'timingConstraint' => 'none',
6954
              'type' => 'UFix_1_0',
6955
            },
6956
            'direction' => 'in',
6957
            'hdlType' => 'std_logic',
6958
            'width' => 1,
6959
          },
6960
        },
6961
      },
6962
      'entityName' => 'reg11_rv',
6963
    },
6964
    'reg11_td' => {
6965
      'connections' => {
6966
        'reg11_td' => 'reg11_td_net',
6967
      },
6968
      'entity' => {
6969
        'attributes' => {
6970
          'isGateway' => 1,
6971
          'is_floating_block' => 1,
6972
        },
6973
        'entityName' => 'reg11_td',
6974
        'ports' => {
6975
          'reg11_td' => {
6976
            'attributes' => {
6977
              'bin_pt' => 0,
6978
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
6979
              'is_floating_block' => 1,
6980
              'is_gateway_port' => 1,
6981
              'must_be_hdl_vector' => 1,
6982
              'period' => 1,
6983
              'port_id' => 0,
6984
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td/reg11_td',
6985
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td',
6986
              'timingConstraint' => 'none',
6987
              'type' => 'UFix_32_0',
6988
            },
6989
            'direction' => 'out',
6990
            'hdlType' => 'std_logic_vector(31 downto 0)',
6991
            'width' => 32,
6992
          },
6993
        },
6994
      },
6995
      'entityName' => 'reg11_td',
6996
    },
6997
    'reg11_tv' => {
6998
      'connections' => {
6999
        'reg11_tv' => 'reg11_tv_net',
7000
      },
7001
      'entity' => {
7002
        'attributes' => {
7003
          'isGateway' => 1,
7004
          'is_floating_block' => 1,
7005
        },
7006
        'entityName' => 'reg11_tv',
7007
        'ports' => {
7008
          'reg11_tv' => {
7009
            'attributes' => {
7010
              'bin_pt' => 0,
7011
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
7012
              'is_floating_block' => 1,
7013
              'is_gateway_port' => 1,
7014
              'must_be_hdl_vector' => 1,
7015
              'period' => 1,
7016
              'port_id' => 0,
7017
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv/reg11_tv',
7018
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv',
7019
              'timingConstraint' => 'none',
7020
              'type' => 'Bool',
7021
            },
7022
            'direction' => 'out',
7023
            'hdlType' => 'std_logic',
7024
            'width' => 1,
7025
          },
7026
        },
7027
      },
7028
      'entityName' => 'reg11_tv',
7029
    },
7030
    'reg12_rd' => {
7031
      'connections' => {
7032
        'reg12_rd' => 'from_register23_data_out_net_x0',
7033
      },
7034
      'entity' => {
7035
        'attributes' => {
7036
          'isGateway' => 1,
7037
          'is_floating_block' => 1,
7038
        },
7039
        'entityName' => 'reg12_rd',
7040
        'ports' => {
7041
          'reg12_rd' => {
7042
            'attributes' => {
7043
              'bin_pt' => 0,
7044
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
7045
              'is_floating_block' => 1,
7046
              'is_gateway_port' => 1,
7047
              'must_be_hdl_vector' => 1,
7048
              'period' => 1,
7049
              'port_id' => 0,
7050
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd/reg12_rd',
7051
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd',
7052
              'timingConstraint' => 'none',
7053
              'type' => 'UFix_32_0',
7054
            },
7055
            'direction' => 'in',
7056
            'hdlType' => 'std_logic_vector(31 downto 0)',
7057
            'width' => 32,
7058
          },
7059
        },
7060
      },
7061
      'entityName' => 'reg12_rd',
7062
    },
7063
    'reg12_rv' => {
7064
      'connections' => {
7065
        'reg12_rv' => 'from_register24_data_out_net_x0',
7066
      },
7067
      'entity' => {
7068
        'attributes' => {
7069
          'isGateway' => 1,
7070
          'is_floating_block' => 1,
7071
        },
7072
        'entityName' => 'reg12_rv',
7073
        'ports' => {
7074
          'reg12_rv' => {
7075
            'attributes' => {
7076
              'bin_pt' => 0,
7077
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
7078
              'is_floating_block' => 1,
7079
              'is_gateway_port' => 1,
7080
              'must_be_hdl_vector' => 1,
7081
              'period' => 1,
7082
              'port_id' => 0,
7083
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv/reg12_rv',
7084
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv',
7085
              'timingConstraint' => 'none',
7086
              'type' => 'UFix_1_0',
7087
            },
7088
            'direction' => 'in',
7089
            'hdlType' => 'std_logic',
7090
            'width' => 1,
7091
          },
7092
        },
7093
      },
7094
      'entityName' => 'reg12_rv',
7095
    },
7096
    'reg12_td' => {
7097
      'connections' => {
7098
        'reg12_td' => 'reg12_td_net',
7099
      },
7100
      'entity' => {
7101
        'attributes' => {
7102
          'isGateway' => 1,
7103
          'is_floating_block' => 1,
7104
        },
7105
        'entityName' => 'reg12_td',
7106
        'ports' => {
7107
          'reg12_td' => {
7108
            'attributes' => {
7109
              'bin_pt' => 0,
7110
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
7111
              'is_floating_block' => 1,
7112
              'is_gateway_port' => 1,
7113
              'must_be_hdl_vector' => 1,
7114
              'period' => 1,
7115
              'port_id' => 0,
7116
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td/reg12_td',
7117
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td',
7118
              'timingConstraint' => 'none',
7119
              'type' => 'UFix_32_0',
7120
            },
7121
            'direction' => 'out',
7122
            'hdlType' => 'std_logic_vector(31 downto 0)',
7123
            'width' => 32,
7124
          },
7125
        },
7126
      },
7127
      'entityName' => 'reg12_td',
7128
    },
7129
    'reg12_tv' => {
7130
      'connections' => {
7131
        'reg12_tv' => 'reg12_tv_net',
7132
      },
7133
      'entity' => {
7134
        'attributes' => {
7135
          'isGateway' => 1,
7136
          'is_floating_block' => 1,
7137
        },
7138
        'entityName' => 'reg12_tv',
7139
        'ports' => {
7140
          'reg12_tv' => {
7141
            'attributes' => {
7142
              'bin_pt' => 0,
7143
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
7144
              'is_floating_block' => 1,
7145
              'is_gateway_port' => 1,
7146
              'must_be_hdl_vector' => 1,
7147
              'period' => 1,
7148
              'port_id' => 0,
7149
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv/reg12_tv',
7150
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv',
7151
              'timingConstraint' => 'none',
7152
              'type' => 'Bool',
7153
            },
7154
            'direction' => 'out',
7155
            'hdlType' => 'std_logic',
7156
            'width' => 1,
7157
          },
7158
        },
7159
      },
7160
      'entityName' => 'reg12_tv',
7161
    },
7162
    'reg13_rd' => {
7163
      'connections' => {
7164
        'reg13_rd' => 'from_register25_data_out_net_x0',
7165
      },
7166
      'entity' => {
7167
        'attributes' => {
7168
          'isGateway' => 1,
7169
          'is_floating_block' => 1,
7170
        },
7171
        'entityName' => 'reg13_rd',
7172
        'ports' => {
7173
          'reg13_rd' => {
7174
            'attributes' => {
7175
              'bin_pt' => 0,
7176
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
7177
              'is_floating_block' => 1,
7178
              'is_gateway_port' => 1,
7179
              'must_be_hdl_vector' => 1,
7180
              'period' => 1,
7181
              'port_id' => 0,
7182
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd/reg13_rd',
7183
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd',
7184
              'timingConstraint' => 'none',
7185
              'type' => 'UFix_32_0',
7186
            },
7187
            'direction' => 'in',
7188
            'hdlType' => 'std_logic_vector(31 downto 0)',
7189
            'width' => 32,
7190
          },
7191
        },
7192
      },
7193
      'entityName' => 'reg13_rd',
7194
    },
7195
    'reg13_rv' => {
7196
      'connections' => {
7197
        'reg13_rv' => 'from_register26_data_out_net_x0',
7198
      },
7199
      'entity' => {
7200
        'attributes' => {
7201
          'isGateway' => 1,
7202
          'is_floating_block' => 1,
7203
        },
7204
        'entityName' => 'reg13_rv',
7205
        'ports' => {
7206
          'reg13_rv' => {
7207
            'attributes' => {
7208
              'bin_pt' => 0,
7209
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
7210
              'is_floating_block' => 1,
7211
              'is_gateway_port' => 1,
7212
              'must_be_hdl_vector' => 1,
7213
              'period' => 1,
7214
              'port_id' => 0,
7215
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv/reg13_rv',
7216
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv',
7217
              'timingConstraint' => 'none',
7218
              'type' => 'UFix_1_0',
7219
            },
7220
            'direction' => 'in',
7221
            'hdlType' => 'std_logic',
7222
            'width' => 1,
7223
          },
7224
        },
7225
      },
7226
      'entityName' => 'reg13_rv',
7227
    },
7228
    'reg13_td' => {
7229
      'connections' => {
7230
        'reg13_td' => 'reg13_td_net',
7231
      },
7232
      'entity' => {
7233
        'attributes' => {
7234
          'isGateway' => 1,
7235
          'is_floating_block' => 1,
7236
        },
7237
        'entityName' => 'reg13_td',
7238
        'ports' => {
7239
          'reg13_td' => {
7240
            'attributes' => {
7241
              'bin_pt' => 0,
7242
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
7243
              'is_floating_block' => 1,
7244
              'is_gateway_port' => 1,
7245
              'must_be_hdl_vector' => 1,
7246
              'period' => 1,
7247
              'port_id' => 0,
7248
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td/reg13_td',
7249
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td',
7250
              'timingConstraint' => 'none',
7251
              'type' => 'UFix_32_0',
7252
            },
7253
            'direction' => 'out',
7254
            'hdlType' => 'std_logic_vector(31 downto 0)',
7255
            'width' => 32,
7256
          },
7257
        },
7258
      },
7259
      'entityName' => 'reg13_td',
7260
    },
7261
    'reg13_tv' => {
7262
      'connections' => {
7263
        'reg13_tv' => 'reg13_tv_net',
7264
      },
7265
      'entity' => {
7266
        'attributes' => {
7267
          'isGateway' => 1,
7268
          'is_floating_block' => 1,
7269
        },
7270
        'entityName' => 'reg13_tv',
7271
        'ports' => {
7272
          'reg13_tv' => {
7273
            'attributes' => {
7274
              'bin_pt' => 0,
7275
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
7276
              'is_floating_block' => 1,
7277
              'is_gateway_port' => 1,
7278
              'must_be_hdl_vector' => 1,
7279
              'period' => 1,
7280
              'port_id' => 0,
7281
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv/reg13_tv',
7282
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv',
7283
              'timingConstraint' => 'none',
7284
              'type' => 'Bool',
7285
            },
7286
            'direction' => 'out',
7287
            'hdlType' => 'std_logic',
7288
            'width' => 1,
7289
          },
7290
        },
7291
      },
7292
      'entityName' => 'reg13_tv',
7293
    },
7294
    'reg14_rd' => {
7295
      'connections' => {
7296
        'reg14_rd' => 'from_register27_data_out_net_x0',
7297
      },
7298
      'entity' => {
7299
        'attributes' => {
7300
          'isGateway' => 1,
7301
          'is_floating_block' => 1,
7302
        },
7303
        'entityName' => 'reg14_rd',
7304
        'ports' => {
7305
          'reg14_rd' => {
7306
            'attributes' => {
7307
              'bin_pt' => 0,
7308
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
7309
              'is_floating_block' => 1,
7310
              'is_gateway_port' => 1,
7311
              'must_be_hdl_vector' => 1,
7312
              'period' => 1,
7313
              'port_id' => 0,
7314
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd/reg14_rd',
7315
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd',
7316
              'timingConstraint' => 'none',
7317
              'type' => 'UFix_32_0',
7318
            },
7319
            'direction' => 'in',
7320
            'hdlType' => 'std_logic_vector(31 downto 0)',
7321
            'width' => 32,
7322
          },
7323
        },
7324
      },
7325
      'entityName' => 'reg14_rd',
7326
    },
7327
    'reg14_rv' => {
7328
      'connections' => {
7329
        'reg14_rv' => 'from_register28_data_out_net_x0',
7330
      },
7331
      'entity' => {
7332
        'attributes' => {
7333
          'isGateway' => 1,
7334
          'is_floating_block' => 1,
7335
        },
7336
        'entityName' => 'reg14_rv',
7337
        'ports' => {
7338
          'reg14_rv' => {
7339
            'attributes' => {
7340
              'bin_pt' => 0,
7341
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
7342
              'is_floating_block' => 1,
7343
              'is_gateway_port' => 1,
7344
              'must_be_hdl_vector' => 1,
7345
              'period' => 1,
7346
              'port_id' => 0,
7347
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv/reg14_rv',
7348
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv',
7349
              'timingConstraint' => 'none',
7350
              'type' => 'UFix_1_0',
7351
            },
7352
            'direction' => 'in',
7353
            'hdlType' => 'std_logic',
7354
            'width' => 1,
7355
          },
7356
        },
7357
      },
7358
      'entityName' => 'reg14_rv',
7359
    },
7360
    'reg14_td' => {
7361
      'connections' => {
7362
        'reg14_td' => 'reg14_td_net',
7363
      },
7364
      'entity' => {
7365
        'attributes' => {
7366
          'isGateway' => 1,
7367
          'is_floating_block' => 1,
7368
        },
7369
        'entityName' => 'reg14_td',
7370
        'ports' => {
7371
          'reg14_td' => {
7372
            'attributes' => {
7373
              'bin_pt' => 0,
7374
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
7375
              'is_floating_block' => 1,
7376
              'is_gateway_port' => 1,
7377
              'must_be_hdl_vector' => 1,
7378
              'period' => 1,
7379
              'port_id' => 0,
7380
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td/reg14_td',
7381
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td',
7382
              'timingConstraint' => 'none',
7383
              'type' => 'UFix_32_0',
7384
            },
7385
            'direction' => 'out',
7386
            'hdlType' => 'std_logic_vector(31 downto 0)',
7387
            'width' => 32,
7388
          },
7389
        },
7390
      },
7391
      'entityName' => 'reg14_td',
7392
    },
7393
    'reg14_tv' => {
7394
      'connections' => {
7395
        'reg14_tv' => 'reg14_tv_net',
7396
      },
7397
      'entity' => {
7398
        'attributes' => {
7399
          'isGateway' => 1,
7400
          'is_floating_block' => 1,
7401
        },
7402
        'entityName' => 'reg14_tv',
7403
        'ports' => {
7404
          'reg14_tv' => {
7405
            'attributes' => {
7406
              'bin_pt' => 0,
7407
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
7408
              'is_floating_block' => 1,
7409
              'is_gateway_port' => 1,
7410
              'must_be_hdl_vector' => 1,
7411
              'period' => 1,
7412
              'port_id' => 0,
7413
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv/reg14_tv',
7414
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv',
7415
              'timingConstraint' => 'none',
7416
              'type' => 'Bool',
7417
            },
7418
            'direction' => 'out',
7419
            'hdlType' => 'std_logic',
7420
            'width' => 1,
7421
          },
7422
        },
7423
      },
7424
      'entityName' => 'reg14_tv',
7425
    },
7426
    'to_register1' => {
7427
      'connections' => {
7428
        'ce' => 'ce_1_sg',
7429
        'clk' => 'clk_1_sg',
7430
        'clr' => [
7431
          'constant',
7432
          '\'0\'',
7433
        ],
7434
        'data_in' => 'debug_in_2i_net_x0',
7435
        'dout' => 'to_register1_dout_net',
7436
        'en' => 'constant5_op_net_x0',
7437
      },
7438
      'entity' => {
7439
        'attributes' => {
7440
          'generics' => [
7441
          ],
7442
          'is_floating_block' => 1,
7443
          'mask' => {
7444
            'Block_Handle' => 2118.00048828125,
7445
            'Block_handle' => 2118.00048828125,
7446
            'MDL_Handle' => 2083.00048828125,
7447
            'MDL_handle' => 2083.00048828125,
7448
            'arith_type' => 1,
7449
            'bin_pt' => 14,
7450
            'block_config' => 'sysgen_blockset:toreg_config',
7451
            'block_handle' => 2118.00048828125,
7452
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7453
            'block_type' => 'toreg',
7454
            'dbl_ovrd' => 0,
7455
            'explicit_data_type' => 0,
7456
            'gui_display_data_type' => 1,
7457
            'init' => 0,
7458
            'init_bit_vector' => '\'b00000000000000000000000000000000',
7459
            'mdl_handle' => 2083.00048828125,
7460
            'model_handle' => 2083.00048828125,
7461
            'n_bits' => 16,
7462
            'ownership' => 1,
7463
            'preci_type' => 1,
7464
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7465
            'shared_memory_name' => 'debug2i',
7466
          },
7467
          'needs_vhdl_wrapper' => 0,
7468
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7469
        },
7470
        'entityName' => 'x',
7471
        'ports' => {
7472
          'ce' => {
7473
            'attributes' => {
7474
              'domain' => '',
7475
              'group' => 1,
7476
              'isCe' => 1,
7477
              'is_floating_block' => 1,
7478
              'period' => 1,
7479
              'type' => 'logic',
7480
            },
7481
            'direction' => 'in',
7482
            'hdlType' => 'std_logic',
7483
            'width' => 1,
7484
          },
7485
          'clk' => {
7486
            'attributes' => {
7487
              'domain' => '',
7488
              'group' => 1,
7489
              'isClk' => 1,
7490
              'is_floating_block' => 1,
7491
              'period' => 1,
7492
              'type' => 'logic',
7493
            },
7494
            'direction' => 'in',
7495
            'hdlType' => 'std_logic',
7496
            'width' => 1,
7497
          },
7498
          'clr' => {
7499
            'attributes' => {
7500
              'domain' => '',
7501
              'group' => 1,
7502
              'isClr' => 1,
7503
              'is_floating_block' => 1,
7504
              'period' => 1,
7505
              'type' => 'logic',
7506
              'valid_bit_used' => 0,
7507
            },
7508
            'direction' => 'in',
7509
            'hdlType' => 'std_logic',
7510
            'width' => 1,
7511
          },
7512
          'data_in' => {
7513
            'attributes' => {
7514
              'bin_pt' => 0,
7515
              'is_floating_block' => 1,
7516
              'must_be_hdl_vector' => 1,
7517
              'period' => 1,
7518
              'port_id' => 0,
7519
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/data_in',
7520
              'type' => 'UFix_32_0',
7521
            },
7522
            'direction' => 'in',
7523
            'hdlType' => 'std_logic_vector(31 downto 0)',
7524
            'width' => 32,
7525
          },
7526
          'dout' => {
7527
            'attributes' => {
7528
              'bin_pt' => 0,
7529
              'is_floating_block' => 1,
7530
              'must_be_hdl_vector' => 1,
7531
              'period' => 1,
7532
              'port_id' => 0,
7533
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/dout',
7534
              'type' => 'UFix_32_0',
7535
            },
7536
            'direction' => 'out',
7537
            'hdlType' => 'std_logic_vector(31 downto 0)',
7538
            'width' => 32,
7539
          },
7540
          'en' => {
7541
            'attributes' => {
7542
              'bin_pt' => 0,
7543
              'is_floating_block' => 1,
7544
              'must_be_hdl_vector' => 1,
7545
              'period' => 1,
7546
              'port_id' => 1,
7547
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/en',
7548
              'type' => 'Bool',
7549
            },
7550
            'direction' => 'in',
7551
            'hdlType' => 'std_logic_vector(0 downto 0)',
7552
            'width' => 1,
7553
          },
7554
        },
7555
      },
7556
      'entityName' => 'x',
7557
    },
7558
    'to_register10' => {
7559
      'connections' => {
7560
        'ce' => 'ce_1_sg',
7561
        'clk' => 'clk_1_sg',
7562
        'clr' => [
7563
          'constant',
7564
          '\'0\'',
7565
        ],
7566
        'data_in' => 'reg04_tv_net_x0',
7567
        'dout' => 'to_register10_dout_net',
7568
        'en' => 'constant5_op_net_x1',
7569
      },
7570
      'entity' => {
7571
        'attributes' => {
7572
          'generics' => [
7573
          ],
7574
          'is_floating_block' => 1,
7575
          'mask' => {
7576
            'Block_Handle' => 2119.00048828125,
7577
            'Block_handle' => 2119.00048828125,
7578
            'MDL_Handle' => 2083.00048828125,
7579
            'MDL_handle' => 2083.00048828125,
7580
            'arith_type' => 1,
7581
            'bin_pt' => 14,
7582
            'block_config' => 'sysgen_blockset:toreg_config',
7583
            'block_handle' => 2119.00048828125,
7584
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7585
            'block_type' => 'toreg',
7586
            'dbl_ovrd' => 0,
7587
            'explicit_data_type' => 0,
7588
            'gui_display_data_type' => 1,
7589
            'init' => 0,
7590
            'init_bit_vector' => '\'b0',
7591
            'mdl_handle' => 2083.00048828125,
7592
            'model_handle' => 2083.00048828125,
7593
            'n_bits' => 16,
7594
            'ownership' => 1,
7595
            'preci_type' => 1,
7596
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7597
            'shared_memory_name' => 'register04tv',
7598
          },
7599
          'needs_vhdl_wrapper' => 0,
7600
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7601
        },
7602
        'entityName' => 'x_x0',
7603
        'ports' => {
7604
          'ce' => {
7605
            'attributes' => {
7606
              'domain' => '',
7607
              'group' => 1,
7608
              'isCe' => 1,
7609
              'is_floating_block' => 1,
7610
              'period' => 1,
7611
              'type' => 'logic',
7612
            },
7613
            'direction' => 'in',
7614
            'hdlType' => 'std_logic',
7615
            'width' => 1,
7616
          },
7617
          'clk' => {
7618
            'attributes' => {
7619
              'domain' => '',
7620
              'group' => 1,
7621
              'isClk' => 1,
7622
              'is_floating_block' => 1,
7623
              'period' => 1,
7624
              'type' => 'logic',
7625
            },
7626
            'direction' => 'in',
7627
            'hdlType' => 'std_logic',
7628
            'width' => 1,
7629
          },
7630
          'clr' => {
7631
            'attributes' => {
7632
              'domain' => '',
7633
              'group' => 1,
7634
              'isClr' => 1,
7635
              'is_floating_block' => 1,
7636
              'period' => 1,
7637
              'type' => 'logic',
7638
              'valid_bit_used' => 0,
7639
            },
7640
            'direction' => 'in',
7641
            'hdlType' => 'std_logic',
7642
            'width' => 1,
7643
          },
7644
          'data_in' => {
7645
            'attributes' => {
7646
              'bin_pt' => 0,
7647
              'is_floating_block' => 1,
7648
              'must_be_hdl_vector' => 1,
7649
              'period' => 1,
7650
              'port_id' => 0,
7651
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/data_in',
7652
              'type' => 'Bool',
7653
            },
7654
            'direction' => 'in',
7655
            'hdlType' => 'std_logic_vector(0 downto 0)',
7656
            'width' => 1,
7657
          },
7658
          'dout' => {
7659
            'attributes' => {
7660
              'bin_pt' => 0,
7661
              'is_floating_block' => 1,
7662
              'must_be_hdl_vector' => 1,
7663
              'period' => 1,
7664
              'port_id' => 0,
7665
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/dout',
7666
              'type' => 'Bool',
7667
            },
7668
            'direction' => 'out',
7669
            'hdlType' => 'std_logic_vector(0 downto 0)',
7670
            'width' => 1,
7671
          },
7672
          'en' => {
7673
            'attributes' => {
7674
              'bin_pt' => 0,
7675
              'is_floating_block' => 1,
7676
              'must_be_hdl_vector' => 1,
7677
              'period' => 1,
7678
              'port_id' => 1,
7679
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/en',
7680
              'type' => 'Bool',
7681
            },
7682
            'direction' => 'in',
7683
            'hdlType' => 'std_logic_vector(0 downto 0)',
7684
            'width' => 1,
7685
          },
7686
        },
7687
      },
7688
      'entityName' => 'x_x0',
7689
    },
7690
    'to_register11' => {
7691
      'connections' => {
7692
        'ce' => 'ce_1_sg',
7693
        'clk' => 'clk_1_sg',
7694
        'clr' => [
7695
          'constant',
7696
          '\'0\'',
7697
        ],
7698
        'data_in' => 'reg04_td_net_x0',
7699
        'dout' => 'to_register11_dout_net',
7700
        'en' => 'constant5_op_net_x2',
7701
      },
7702
      'entity' => {
7703
        'attributes' => {
7704
          'generics' => [
7705
          ],
7706
          'is_floating_block' => 1,
7707
          'mask' => {
7708
            'Block_Handle' => 2120.00048828125,
7709
            'Block_handle' => 2120.00048828125,
7710
            'MDL_Handle' => 2083.00048828125,
7711
            'MDL_handle' => 2083.00048828125,
7712
            'arith_type' => 1,
7713
            'bin_pt' => 14,
7714
            'block_config' => 'sysgen_blockset:toreg_config',
7715
            'block_handle' => 2120.00048828125,
7716
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7717
            'block_type' => 'toreg',
7718
            'dbl_ovrd' => 0,
7719
            'explicit_data_type' => 0,
7720
            'gui_display_data_type' => 1,
7721
            'init' => 0,
7722
            'init_bit_vector' => '\'b00000000000000000000000000000000',
7723
            'mdl_handle' => 2083.00048828125,
7724
            'model_handle' => 2083.00048828125,
7725
            'n_bits' => 16,
7726
            'ownership' => 1,
7727
            'preci_type' => 1,
7728
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7729
            'shared_memory_name' => 'register04td',
7730
          },
7731
          'needs_vhdl_wrapper' => 0,
7732
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7733
        },
7734
        'entityName' => 'x_x1',
7735
        'ports' => {
7736
          'ce' => {
7737
            'attributes' => {
7738
              'domain' => '',
7739
              'group' => 1,
7740
              'isCe' => 1,
7741
              'is_floating_block' => 1,
7742
              'period' => 1,
7743
              'type' => 'logic',
7744
            },
7745
            'direction' => 'in',
7746
            'hdlType' => 'std_logic',
7747
            'width' => 1,
7748
          },
7749
          'clk' => {
7750
            'attributes' => {
7751
              'domain' => '',
7752
              'group' => 1,
7753
              'isClk' => 1,
7754
              'is_floating_block' => 1,
7755
              'period' => 1,
7756
              'type' => 'logic',
7757
            },
7758
            'direction' => 'in',
7759
            'hdlType' => 'std_logic',
7760
            'width' => 1,
7761
          },
7762
          'clr' => {
7763
            'attributes' => {
7764
              'domain' => '',
7765
              'group' => 1,
7766
              'isClr' => 1,
7767
              'is_floating_block' => 1,
7768
              'period' => 1,
7769
              'type' => 'logic',
7770
              'valid_bit_used' => 0,
7771
            },
7772
            'direction' => 'in',
7773
            'hdlType' => 'std_logic',
7774
            'width' => 1,
7775
          },
7776
          'data_in' => {
7777
            'attributes' => {
7778
              'bin_pt' => 0,
7779
              'is_floating_block' => 1,
7780
              'must_be_hdl_vector' => 1,
7781
              'period' => 1,
7782
              'port_id' => 0,
7783
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/data_in',
7784
              'type' => 'UFix_32_0',
7785
            },
7786
            'direction' => 'in',
7787
            'hdlType' => 'std_logic_vector(31 downto 0)',
7788
            'width' => 32,
7789
          },
7790
          'dout' => {
7791
            'attributes' => {
7792
              'bin_pt' => 0,
7793
              'is_floating_block' => 1,
7794
              'must_be_hdl_vector' => 1,
7795
              'period' => 1,
7796
              'port_id' => 0,
7797
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/dout',
7798
              'type' => 'UFix_32_0',
7799
            },
7800
            'direction' => 'out',
7801
            'hdlType' => 'std_logic_vector(31 downto 0)',
7802
            'width' => 32,
7803
          },
7804
          'en' => {
7805
            'attributes' => {
7806
              'bin_pt' => 0,
7807
              'is_floating_block' => 1,
7808
              'must_be_hdl_vector' => 1,
7809
              'period' => 1,
7810
              'port_id' => 1,
7811
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/en',
7812
              'type' => 'Bool',
7813
            },
7814
            'direction' => 'in',
7815
            'hdlType' => 'std_logic_vector(0 downto 0)',
7816
            'width' => 1,
7817
          },
7818
        },
7819
      },
7820
      'entityName' => 'x_x1',
7821
    },
7822
    'to_register12' => {
7823
      'connections' => {
7824
        'ce' => 'ce_1_sg',
7825
        'clk' => 'clk_1_sg',
7826
        'clr' => [
7827
          'constant',
7828
          '\'0\'',
7829
        ],
7830
        'data_in' => 'reg05_tv_net_x0',
7831
        'dout' => 'to_register12_dout_net',
7832
        'en' => 'constant5_op_net_x3',
7833
      },
7834
      'entity' => {
7835
        'attributes' => {
7836
          'generics' => [
7837
          ],
7838
          'is_floating_block' => 1,
7839
          'mask' => {
7840
            'Block_Handle' => 2121.00048828125,
7841
            'Block_handle' => 2121.00048828125,
7842
            'MDL_Handle' => 2083.00048828125,
7843
            'MDL_handle' => 2083.00048828125,
7844
            'arith_type' => 1,
7845
            'bin_pt' => 14,
7846
            'block_config' => 'sysgen_blockset:toreg_config',
7847
            'block_handle' => 2121.00048828125,
7848
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7849
            'block_type' => 'toreg',
7850
            'dbl_ovrd' => 0,
7851
            'explicit_data_type' => 0,
7852
            'gui_display_data_type' => 1,
7853
            'init' => 0,
7854
            'init_bit_vector' => '\'b0',
7855
            'mdl_handle' => 2083.00048828125,
7856
            'model_handle' => 2083.00048828125,
7857
            'n_bits' => 16,
7858
            'ownership' => 1,
7859
            'preci_type' => 1,
7860
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7861
            'shared_memory_name' => 'register05tv',
7862
          },
7863
          'needs_vhdl_wrapper' => 0,
7864
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7865
        },
7866
        'entityName' => 'x_x2',
7867
        'ports' => {
7868
          'ce' => {
7869
            'attributes' => {
7870
              'domain' => '',
7871
              'group' => 1,
7872
              'isCe' => 1,
7873
              'is_floating_block' => 1,
7874
              'period' => 1,
7875
              'type' => 'logic',
7876
            },
7877
            'direction' => 'in',
7878
            'hdlType' => 'std_logic',
7879
            'width' => 1,
7880
          },
7881
          'clk' => {
7882
            'attributes' => {
7883
              'domain' => '',
7884
              'group' => 1,
7885
              'isClk' => 1,
7886
              'is_floating_block' => 1,
7887
              'period' => 1,
7888
              'type' => 'logic',
7889
            },
7890
            'direction' => 'in',
7891
            'hdlType' => 'std_logic',
7892
            'width' => 1,
7893
          },
7894
          'clr' => {
7895
            'attributes' => {
7896
              'domain' => '',
7897
              'group' => 1,
7898
              'isClr' => 1,
7899
              'is_floating_block' => 1,
7900
              'period' => 1,
7901
              'type' => 'logic',
7902
              'valid_bit_used' => 0,
7903
            },
7904
            'direction' => 'in',
7905
            'hdlType' => 'std_logic',
7906
            'width' => 1,
7907
          },
7908
          'data_in' => {
7909
            'attributes' => {
7910
              'bin_pt' => 0,
7911
              'is_floating_block' => 1,
7912
              'must_be_hdl_vector' => 1,
7913
              'period' => 1,
7914
              'port_id' => 0,
7915
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/data_in',
7916
              'type' => 'Bool',
7917
            },
7918
            'direction' => 'in',
7919
            'hdlType' => 'std_logic_vector(0 downto 0)',
7920
            'width' => 1,
7921
          },
7922
          'dout' => {
7923
            'attributes' => {
7924
              'bin_pt' => 0,
7925
              'is_floating_block' => 1,
7926
              'must_be_hdl_vector' => 1,
7927
              'period' => 1,
7928
              'port_id' => 0,
7929
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/dout',
7930
              'type' => 'Bool',
7931
            },
7932
            'direction' => 'out',
7933
            'hdlType' => 'std_logic_vector(0 downto 0)',
7934
            'width' => 1,
7935
          },
7936
          'en' => {
7937
            'attributes' => {
7938
              'bin_pt' => 0,
7939
              'is_floating_block' => 1,
7940
              'must_be_hdl_vector' => 1,
7941
              'period' => 1,
7942
              'port_id' => 1,
7943
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/en',
7944
              'type' => 'Bool',
7945
            },
7946
            'direction' => 'in',
7947
            'hdlType' => 'std_logic_vector(0 downto 0)',
7948
            'width' => 1,
7949
          },
7950
        },
7951
      },
7952
      'entityName' => 'x_x2',
7953
    },
7954
    'to_register13' => {
7955
      'connections' => {
7956
        'ce' => 'ce_1_sg',
7957
        'clk' => 'clk_1_sg',
7958
        'clr' => [
7959
          'constant',
7960
          '\'0\'',
7961
        ],
7962
        'data_in' => 'reg05_td_net_x0',
7963
        'dout' => 'to_register13_dout_net',
7964
        'en' => 'constant5_op_net_x4',
7965
      },
7966
      'entity' => {
7967
        'attributes' => {
7968
          'generics' => [
7969
          ],
7970
          'is_floating_block' => 1,
7971
          'mask' => {
7972
            'Block_Handle' => 2122.00048828125,
7973
            'Block_handle' => 2122.00048828125,
7974
            'MDL_Handle' => 2083.00048828125,
7975
            'MDL_handle' => 2083.00048828125,
7976
            'arith_type' => 1,
7977
            'bin_pt' => 14,
7978
            'block_config' => 'sysgen_blockset:toreg_config',
7979
            'block_handle' => 2122.00048828125,
7980
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7981
            'block_type' => 'toreg',
7982
            'dbl_ovrd' => 0,
7983
            'explicit_data_type' => 0,
7984
            'gui_display_data_type' => 1,
7985
            'init' => 0,
7986
            'init_bit_vector' => '\'b00000000000000000000000000000000',
7987
            'mdl_handle' => 2083.00048828125,
7988
            'model_handle' => 2083.00048828125,
7989
            'n_bits' => 16,
7990
            'ownership' => 1,
7991
            'preci_type' => 1,
7992
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7993
            'shared_memory_name' => 'register05td',
7994
          },
7995
          'needs_vhdl_wrapper' => 0,
7996
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7997
        },
7998
        'entityName' => 'x_x3',
7999
        'ports' => {
8000
          'ce' => {
8001
            'attributes' => {
8002
              'domain' => '',
8003
              'group' => 1,
8004
              'isCe' => 1,
8005
              'is_floating_block' => 1,
8006
              'period' => 1,
8007
              'type' => 'logic',
8008
            },
8009
            'direction' => 'in',
8010
            'hdlType' => 'std_logic',
8011
            'width' => 1,
8012
          },
8013
          'clk' => {
8014
            'attributes' => {
8015
              'domain' => '',
8016
              'group' => 1,
8017
              'isClk' => 1,
8018
              'is_floating_block' => 1,
8019
              'period' => 1,
8020
              'type' => 'logic',
8021
            },
8022
            'direction' => 'in',
8023
            'hdlType' => 'std_logic',
8024
            'width' => 1,
8025
          },
8026
          'clr' => {
8027
            'attributes' => {
8028
              'domain' => '',
8029
              'group' => 1,
8030
              'isClr' => 1,
8031
              'is_floating_block' => 1,
8032
              'period' => 1,
8033
              'type' => 'logic',
8034
              'valid_bit_used' => 0,
8035
            },
8036
            'direction' => 'in',
8037
            'hdlType' => 'std_logic',
8038
            'width' => 1,
8039
          },
8040
          'data_in' => {
8041
            'attributes' => {
8042
              'bin_pt' => 0,
8043
              'is_floating_block' => 1,
8044
              'must_be_hdl_vector' => 1,
8045
              'period' => 1,
8046
              'port_id' => 0,
8047
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/data_in',
8048
              'type' => 'UFix_32_0',
8049
            },
8050
            'direction' => 'in',
8051
            'hdlType' => 'std_logic_vector(31 downto 0)',
8052
            'width' => 32,
8053
          },
8054
          'dout' => {
8055
            'attributes' => {
8056
              'bin_pt' => 0,
8057
              'is_floating_block' => 1,
8058
              'must_be_hdl_vector' => 1,
8059
              'period' => 1,
8060
              'port_id' => 0,
8061
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/dout',
8062
              'type' => 'UFix_32_0',
8063
            },
8064
            'direction' => 'out',
8065
            'hdlType' => 'std_logic_vector(31 downto 0)',
8066
            'width' => 32,
8067
          },
8068
          'en' => {
8069
            'attributes' => {
8070
              'bin_pt' => 0,
8071
              'is_floating_block' => 1,
8072
              'must_be_hdl_vector' => 1,
8073
              'period' => 1,
8074
              'port_id' => 1,
8075
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/en',
8076
              'type' => 'Bool',
8077
            },
8078
            'direction' => 'in',
8079
            'hdlType' => 'std_logic_vector(0 downto 0)',
8080
            'width' => 1,
8081
          },
8082
        },
8083
      },
8084
      'entityName' => 'x_x3',
8085
    },
8086
    'to_register14' => {
8087
      'connections' => {
8088
        'ce' => 'ce_1_sg',
8089
        'clk' => 'clk_1_sg',
8090
        'clr' => [
8091
          'constant',
8092
          '\'0\'',
8093
        ],
8094
        'data_in' => 'reg06_tv_net_x0',
8095
        'dout' => 'to_register14_dout_net',
8096
        'en' => 'constant5_op_net_x5',
8097
      },
8098
      'entity' => {
8099
        'attributes' => {
8100
          'generics' => [
8101
          ],
8102
          'is_floating_block' => 1,
8103
          'mask' => {
8104
            'Block_Handle' => 2123.00048828125,
8105
            'Block_handle' => 2123.00048828125,
8106
            'MDL_Handle' => 2083.00048828125,
8107
            'MDL_handle' => 2083.00048828125,
8108
            'arith_type' => 1,
8109
            'bin_pt' => 14,
8110
            'block_config' => 'sysgen_blockset:toreg_config',
8111
            'block_handle' => 2123.00048828125,
8112
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
8113
            'block_type' => 'toreg',
8114
            'dbl_ovrd' => 0,
8115
            'explicit_data_type' => 0,
8116
            'gui_display_data_type' => 1,
8117
            'init' => 0,
8118
            'init_bit_vector' => '\'b0',
8119
            'mdl_handle' => 2083.00048828125,
8120
            'model_handle' => 2083.00048828125,
8121
            'n_bits' => 16,
8122
            'ownership' => 1,
8123
            'preci_type' => 1,
8124
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8125
            'shared_memory_name' => 'register06tv',
8126
          },
8127
          'needs_vhdl_wrapper' => 0,
8128
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
8129
        },
8130
        'entityName' => 'x_x4',
8131
        'ports' => {
8132
          'ce' => {
8133
            'attributes' => {
8134
              'domain' => '',
8135
              'group' => 1,
8136
              'isCe' => 1,
8137
              'is_floating_block' => 1,
8138
              'period' => 1,
8139
              'type' => 'logic',
8140
            },
8141
            'direction' => 'in',
8142
            'hdlType' => 'std_logic',
8143
            'width' => 1,
8144
          },
8145
          'clk' => {
8146
            'attributes' => {
8147
              'domain' => '',
8148
              'group' => 1,
8149
              'isClk' => 1,
8150
              'is_floating_block' => 1,
8151
              'period' => 1,
8152
              'type' => 'logic',
8153
            },
8154
            'direction' => 'in',
8155
            'hdlType' => 'std_logic',
8156
            'width' => 1,
8157
          },
8158
          'clr' => {
8159
            'attributes' => {
8160
              'domain' => '',
8161
              'group' => 1,
8162
              'isClr' => 1,
8163
              'is_floating_block' => 1,
8164
              'period' => 1,
8165
              'type' => 'logic',
8166
              'valid_bit_used' => 0,
8167
            },
8168
            'direction' => 'in',
8169
            'hdlType' => 'std_logic',
8170
            'width' => 1,
8171
          },
8172
          'data_in' => {
8173
            'attributes' => {
8174
              'bin_pt' => 0,
8175
              'is_floating_block' => 1,
8176
              'must_be_hdl_vector' => 1,
8177
              'period' => 1,
8178
              'port_id' => 0,
8179
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/data_in',
8180
              'type' => 'Bool',
8181
            },
8182
            'direction' => 'in',
8183
            'hdlType' => 'std_logic_vector(0 downto 0)',
8184
            'width' => 1,
8185
          },
8186
          'dout' => {
8187
            'attributes' => {
8188
              'bin_pt' => 0,
8189
              'is_floating_block' => 1,
8190
              'must_be_hdl_vector' => 1,
8191
              'period' => 1,
8192
              'port_id' => 0,
8193
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/dout',
8194
              'type' => 'Bool',
8195
            },
8196
            'direction' => 'out',
8197
            'hdlType' => 'std_logic_vector(0 downto 0)',
8198
            'width' => 1,
8199
          },
8200
          'en' => {
8201
            'attributes' => {
8202
              'bin_pt' => 0,
8203
              'is_floating_block' => 1,
8204
              'must_be_hdl_vector' => 1,
8205
              'period' => 1,
8206
              'port_id' => 1,
8207
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/en',
8208
              'type' => 'Bool',
8209
            },
8210
            'direction' => 'in',
8211
            'hdlType' => 'std_logic_vector(0 downto 0)',
8212
            'width' => 1,
8213
          },
8214
        },
8215
      },
8216
      'entityName' => 'x_x4',
8217
    },
8218
    'to_register15' => {
8219
      'connections' => {
8220
        'ce' => 'ce_1_sg',
8221
        'clk' => 'clk_1_sg',
8222
        'clr' => [
8223
          'constant',
8224
          '\'0\'',
8225
        ],
8226
        'data_in' => 'reg06_td_net_x0',
8227
        'dout' => 'to_register15_dout_net',
8228
        'en' => 'constant5_op_net_x6',
8229
      },
8230
      'entity' => {
8231
        'attributes' => {
8232
          'generics' => [
8233
          ],
8234
          'is_floating_block' => 1,
8235
          'mask' => {
8236
            'Block_Handle' => 2124.00048828125,
8237
            'Block_handle' => 2124.00048828125,
8238
            'MDL_Handle' => 2083.00048828125,
8239
            'MDL_handle' => 2083.00048828125,
8240
            'arith_type' => 1,
8241
            'bin_pt' => 14,
8242
            'block_config' => 'sysgen_blockset:toreg_config',
8243
            'block_handle' => 2124.00048828125,
8244
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
8245
            'block_type' => 'toreg',
8246
            'dbl_ovrd' => 0,
8247
            'explicit_data_type' => 0,
8248
            'gui_display_data_type' => 1,
8249
            'init' => 0,
8250
            'init_bit_vector' => '\'b00000000000000000000000000000000',
8251
            'mdl_handle' => 2083.00048828125,
8252
            'model_handle' => 2083.00048828125,
8253
            'n_bits' => 16,
8254
            'ownership' => 1,
8255
            'preci_type' => 1,
8256
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8257
            'shared_memory_name' => 'register06td',
8258
          },
8259
          'needs_vhdl_wrapper' => 0,
8260
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
8261
        },
8262
        'entityName' => 'x_x5',
8263
        'ports' => {
8264
          'ce' => {
8265
            'attributes' => {
8266
              'domain' => '',
8267
              'group' => 1,
8268
              'isCe' => 1,
8269
              'is_floating_block' => 1,
8270
              'period' => 1,
8271
              'type' => 'logic',
8272
            },
8273
            'direction' => 'in',
8274
            'hdlType' => 'std_logic',
8275
            'width' => 1,
8276
          },
8277
          'clk' => {
8278
            'attributes' => {
8279
              'domain' => '',
8280
              'group' => 1,
8281
              'isClk' => 1,
8282
              'is_floating_block' => 1,
8283
              'period' => 1,
8284
              'type' => 'logic',
8285
            },
8286
            'direction' => 'in',
8287
            'hdlType' => 'std_logic',
8288
            'width' => 1,
8289
          },
8290
          'clr' => {
8291
            'attributes' => {
8292
              'domain' => '',
8293
              'group' => 1,
8294
              'isClr' => 1,
8295
              'is_floating_block' => 1,
8296
              'period' => 1,
8297
              'type' => 'logic',
8298
              'valid_bit_used' => 0,
8299
            },
8300
            'direction' => 'in',
8301
            'hdlType' => 'std_logic',
8302
            'width' => 1,
8303
          },
8304
          'data_in' => {
8305
            'attributes' => {
8306
              'bin_pt' => 0,
8307
              'is_floating_block' => 1,
8308
              'must_be_hdl_vector' => 1,
8309
              'period' => 1,
8310
              'port_id' => 0,
8311
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/data_in',
8312
              'type' => 'UFix_32_0',
8313
            },
8314
            'direction' => 'in',
8315
            'hdlType' => 'std_logic_vector(31 downto 0)',
8316
            'width' => 32,
8317
          },
8318
          'dout' => {
8319
            'attributes' => {
8320
              'bin_pt' => 0,
8321
              'is_floating_block' => 1,
8322
              'must_be_hdl_vector' => 1,
8323
              'period' => 1,
8324
              'port_id' => 0,
8325
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/dout',
8326
              'type' => 'UFix_32_0',
8327
            },
8328
            'direction' => 'out',
8329
            'hdlType' => 'std_logic_vector(31 downto 0)',
8330
            'width' => 32,
8331
          },
8332
          'en' => {
8333
            'attributes' => {
8334
              'bin_pt' => 0,
8335
              'is_floating_block' => 1,
8336
              'must_be_hdl_vector' => 1,
8337
              'period' => 1,
8338
              'port_id' => 1,
8339
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/en',
8340
              'type' => 'Bool',
8341
            },
8342
            'direction' => 'in',
8343
            'hdlType' => 'std_logic_vector(0 downto 0)',
8344
            'width' => 1,
8345
          },
8346
        },
8347
      },
8348
      'entityName' => 'x_x5',
8349
    },
8350
    'to_register16' => {
8351
      'connections' => {
8352
        'ce' => 'ce_1_sg',
8353
        'clk' => 'clk_1_sg',
8354
        'clr' => [
8355
          'constant',
8356
          '\'0\'',
8357
        ],
8358
        'data_in' => 'reg07_tv_net_x0',
8359
        'dout' => 'to_register16_dout_net',
8360
        'en' => 'constant5_op_net_x7',
8361
      },
8362
      'entity' => {
8363
        'attributes' => {
8364
          'generics' => [
8365
          ],
8366
          'is_floating_block' => 1,
8367
          'mask' => {
8368
            'Block_Handle' => 2125.00048828125,
8369
            'Block_handle' => 2125.00048828125,
8370
            'MDL_Handle' => 2083.00048828125,
8371
            'MDL_handle' => 2083.00048828125,
8372
            'arith_type' => 1,
8373
            'bin_pt' => 14,
8374
            'block_config' => 'sysgen_blockset:toreg_config',
8375
            'block_handle' => 2125.00048828125,
8376
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8377
            'block_type' => 'toreg',
8378
            'dbl_ovrd' => 0,
8379
            'explicit_data_type' => 0,
8380
            'gui_display_data_type' => 1,
8381
            'init' => 0,
8382
            'init_bit_vector' => '\'b0',
8383
            'mdl_handle' => 2083.00048828125,
8384
            'model_handle' => 2083.00048828125,
8385
            'n_bits' => 16,
8386
            'ownership' => 1,
8387
            'preci_type' => 1,
8388
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8389
            'shared_memory_name' => 'register07tv',
8390
          },
8391
          'needs_vhdl_wrapper' => 0,
8392
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8393
        },
8394
        'entityName' => 'x_x6',
8395
        'ports' => {
8396
          'ce' => {
8397
            'attributes' => {
8398
              'domain' => '',
8399
              'group' => 1,
8400
              'isCe' => 1,
8401
              'is_floating_block' => 1,
8402
              'period' => 1,
8403
              'type' => 'logic',
8404
            },
8405
            'direction' => 'in',
8406
            'hdlType' => 'std_logic',
8407
            'width' => 1,
8408
          },
8409
          'clk' => {
8410
            'attributes' => {
8411
              'domain' => '',
8412
              'group' => 1,
8413
              'isClk' => 1,
8414
              'is_floating_block' => 1,
8415
              'period' => 1,
8416
              'type' => 'logic',
8417
            },
8418
            'direction' => 'in',
8419
            'hdlType' => 'std_logic',
8420
            'width' => 1,
8421
          },
8422
          'clr' => {
8423
            'attributes' => {
8424
              'domain' => '',
8425
              'group' => 1,
8426
              'isClr' => 1,
8427
              'is_floating_block' => 1,
8428
              'period' => 1,
8429
              'type' => 'logic',
8430
              'valid_bit_used' => 0,
8431
            },
8432
            'direction' => 'in',
8433
            'hdlType' => 'std_logic',
8434
            'width' => 1,
8435
          },
8436
          'data_in' => {
8437
            'attributes' => {
8438
              'bin_pt' => 0,
8439
              'is_floating_block' => 1,
8440
              'must_be_hdl_vector' => 1,
8441
              'period' => 1,
8442
              'port_id' => 0,
8443
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/data_in',
8444
              'type' => 'Bool',
8445
            },
8446
            'direction' => 'in',
8447
            'hdlType' => 'std_logic_vector(0 downto 0)',
8448
            'width' => 1,
8449
          },
8450
          'dout' => {
8451
            'attributes' => {
8452
              'bin_pt' => 0,
8453
              'is_floating_block' => 1,
8454
              'must_be_hdl_vector' => 1,
8455
              'period' => 1,
8456
              'port_id' => 0,
8457
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/dout',
8458
              'type' => 'Bool',
8459
            },
8460
            'direction' => 'out',
8461
            'hdlType' => 'std_logic_vector(0 downto 0)',
8462
            'width' => 1,
8463
          },
8464
          'en' => {
8465
            'attributes' => {
8466
              'bin_pt' => 0,
8467
              'is_floating_block' => 1,
8468
              'must_be_hdl_vector' => 1,
8469
              'period' => 1,
8470
              'port_id' => 1,
8471
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/en',
8472
              'type' => 'Bool',
8473
            },
8474
            'direction' => 'in',
8475
            'hdlType' => 'std_logic_vector(0 downto 0)',
8476
            'width' => 1,
8477
          },
8478
        },
8479
      },
8480
      'entityName' => 'x_x6',
8481
    },
8482
    'to_register17' => {
8483
      'connections' => {
8484
        'ce' => 'ce_1_sg',
8485
        'clk' => 'clk_1_sg',
8486
        'clr' => [
8487
          'constant',
8488
          '\'0\'',
8489
        ],
8490
        'data_in' => 'reg07_td_net_x0',
8491
        'dout' => 'to_register17_dout_net',
8492
        'en' => 'constant5_op_net_x8',
8493
      },
8494
      'entity' => {
8495
        'attributes' => {
8496
          'generics' => [
8497
          ],
8498
          'is_floating_block' => 1,
8499
          'mask' => {
8500
            'Block_Handle' => 2126.00048828125,
8501
            'Block_handle' => 2126.00048828125,
8502
            'MDL_Handle' => 2083.00048828125,
8503
            'MDL_handle' => 2083.00048828125,
8504
            'arith_type' => 1,
8505
            'bin_pt' => 14,
8506
            'block_config' => 'sysgen_blockset:toreg_config',
8507
            'block_handle' => 2126.00048828125,
8508
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8509
            'block_type' => 'toreg',
8510
            'dbl_ovrd' => 0,
8511
            'explicit_data_type' => 0,
8512
            'gui_display_data_type' => 1,
8513
            'init' => 0,
8514
            'init_bit_vector' => '\'b00000000000000000000000000000000',
8515
            'mdl_handle' => 2083.00048828125,
8516
            'model_handle' => 2083.00048828125,
8517
            'n_bits' => 16,
8518
            'ownership' => 1,
8519
            'preci_type' => 1,
8520
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8521
            'shared_memory_name' => 'register07td',
8522
          },
8523
          'needs_vhdl_wrapper' => 0,
8524
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8525
        },
8526
        'entityName' => 'x_x7',
8527
        'ports' => {
8528
          'ce' => {
8529
            'attributes' => {
8530
              'domain' => '',
8531
              'group' => 1,
8532
              'isCe' => 1,
8533
              'is_floating_block' => 1,
8534
              'period' => 1,
8535
              'type' => 'logic',
8536
            },
8537
            'direction' => 'in',
8538
            'hdlType' => 'std_logic',
8539
            'width' => 1,
8540
          },
8541
          'clk' => {
8542
            'attributes' => {
8543
              'domain' => '',
8544
              'group' => 1,
8545
              'isClk' => 1,
8546
              'is_floating_block' => 1,
8547
              'period' => 1,
8548
              'type' => 'logic',
8549
            },
8550
            'direction' => 'in',
8551
            'hdlType' => 'std_logic',
8552
            'width' => 1,
8553
          },
8554
          'clr' => {
8555
            'attributes' => {
8556
              'domain' => '',
8557
              'group' => 1,
8558
              'isClr' => 1,
8559
              'is_floating_block' => 1,
8560
              'period' => 1,
8561
              'type' => 'logic',
8562
              'valid_bit_used' => 0,
8563
            },
8564
            'direction' => 'in',
8565
            'hdlType' => 'std_logic',
8566
            'width' => 1,
8567
          },
8568
          'data_in' => {
8569
            'attributes' => {
8570
              'bin_pt' => 0,
8571
              'is_floating_block' => 1,
8572
              'must_be_hdl_vector' => 1,
8573
              'period' => 1,
8574
              'port_id' => 0,
8575
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/data_in',
8576
              'type' => 'UFix_32_0',
8577
            },
8578
            'direction' => 'in',
8579
            'hdlType' => 'std_logic_vector(31 downto 0)',
8580
            'width' => 32,
8581
          },
8582
          'dout' => {
8583
            'attributes' => {
8584
              'bin_pt' => 0,
8585
              'is_floating_block' => 1,
8586
              'must_be_hdl_vector' => 1,
8587
              'period' => 1,
8588
              'port_id' => 0,
8589
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/dout',
8590
              'type' => 'UFix_32_0',
8591
            },
8592
            'direction' => 'out',
8593
            'hdlType' => 'std_logic_vector(31 downto 0)',
8594
            'width' => 32,
8595
          },
8596
          'en' => {
8597
            'attributes' => {
8598
              'bin_pt' => 0,
8599
              'is_floating_block' => 1,
8600
              'must_be_hdl_vector' => 1,
8601
              'period' => 1,
8602
              'port_id' => 1,
8603
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/en',
8604
              'type' => 'Bool',
8605
            },
8606
            'direction' => 'in',
8607
            'hdlType' => 'std_logic_vector(0 downto 0)',
8608
            'width' => 1,
8609
          },
8610
        },
8611
      },
8612
      'entityName' => 'x_x7',
8613
    },
8614
    'to_register18' => {
8615
      'connections' => {
8616
        'ce' => 'ce_1_sg',
8617
        'clk' => 'clk_1_sg',
8618
        'clr' => [
8619
          'constant',
8620
          '\'0\'',
8621
        ],
8622
        'data_in' => 'dma_host2board_busy_net_x0',
8623
        'dout' => 'to_register18_dout_net',
8624
        'en' => 'constant5_op_net_x9',
8625
      },
8626
      'entity' => {
8627
        'attributes' => {
8628
          'generics' => [
8629
          ],
8630
          'is_floating_block' => 1,
8631
          'mask' => {
8632
            'Block_Handle' => 2127.00048828125,
8633
            'Block_handle' => 2127.00048828125,
8634
            'MDL_Handle' => 2083.00048828125,
8635
            'MDL_handle' => 2083.00048828125,
8636
            'arith_type' => 1,
8637
            'bin_pt' => 14,
8638
            'block_config' => 'sysgen_blockset:toreg_config',
8639
            'block_handle' => 2127.00048828125,
8640
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8641
            'block_type' => 'toreg',
8642
            'dbl_ovrd' => 0,
8643
            'explicit_data_type' => 0,
8644
            'gui_display_data_type' => 1,
8645
            'init' => 0,
8646
            'init_bit_vector' => '\'b0',
8647
            'mdl_handle' => 2083.00048828125,
8648
            'model_handle' => 2083.00048828125,
8649
            'n_bits' => 16,
8650
            'ownership' => 1,
8651
            'preci_type' => 1,
8652
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8653
            'shared_memory_name' => 'DMA_Host2Board_Busy',
8654
          },
8655
          'needs_vhdl_wrapper' => 0,
8656
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8657
        },
8658
        'entityName' => 'x_x8',
8659
        'ports' => {
8660
          'ce' => {
8661
            'attributes' => {
8662
              'domain' => '',
8663
              'group' => 1,
8664
              'isCe' => 1,
8665
              'is_floating_block' => 1,
8666
              'period' => 1,
8667
              'type' => 'logic',
8668
            },
8669
            'direction' => 'in',
8670
            'hdlType' => 'std_logic',
8671
            'width' => 1,
8672
          },
8673
          'clk' => {
8674
            'attributes' => {
8675
              'domain' => '',
8676
              'group' => 1,
8677
              'isClk' => 1,
8678
              'is_floating_block' => 1,
8679
              'period' => 1,
8680
              'type' => 'logic',
8681
            },
8682
            'direction' => 'in',
8683
            'hdlType' => 'std_logic',
8684
            'width' => 1,
8685
          },
8686
          'clr' => {
8687
            'attributes' => {
8688
              'domain' => '',
8689
              'group' => 1,
8690
              'isClr' => 1,
8691
              'is_floating_block' => 1,
8692
              'period' => 1,
8693
              'type' => 'logic',
8694
              'valid_bit_used' => 0,
8695
            },
8696
            'direction' => 'in',
8697
            'hdlType' => 'std_logic',
8698
            'width' => 1,
8699
          },
8700
          'data_in' => {
8701
            'attributes' => {
8702
              'bin_pt' => 0,
8703
              'is_floating_block' => 1,
8704
              'must_be_hdl_vector' => 1,
8705
              'period' => 1,
8706
              'port_id' => 0,
8707
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/data_in',
8708
              'type' => 'UFix_1_0',
8709
            },
8710
            'direction' => 'in',
8711
            'hdlType' => 'std_logic_vector(0 downto 0)',
8712
            'width' => 1,
8713
          },
8714
          'dout' => {
8715
            'attributes' => {
8716
              'bin_pt' => 0,
8717
              'is_floating_block' => 1,
8718
              'must_be_hdl_vector' => 1,
8719
              'period' => 1,
8720
              'port_id' => 0,
8721
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/dout',
8722
              'type' => 'UFix_1_0',
8723
            },
8724
            'direction' => 'out',
8725
            'hdlType' => 'std_logic_vector(0 downto 0)',
8726
            'width' => 1,
8727
          },
8728
          'en' => {
8729
            'attributes' => {
8730
              'bin_pt' => 0,
8731
              'is_floating_block' => 1,
8732
              'must_be_hdl_vector' => 1,
8733
              'period' => 1,
8734
              'port_id' => 1,
8735
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/en',
8736
              'type' => 'Bool',
8737
            },
8738
            'direction' => 'in',
8739
            'hdlType' => 'std_logic_vector(0 downto 0)',
8740
            'width' => 1,
8741
          },
8742
        },
8743
      },
8744
      'entityName' => 'x_x8',
8745
    },
8746
    'to_register19' => {
8747
      'connections' => {
8748
        'ce' => 'ce_1_sg',
8749
        'clk' => 'clk_1_sg',
8750
        'clr' => [
8751
          'constant',
8752
          '\'0\'',
8753
        ],
8754
        'data_in' => 'dma_host2board_done_net_x0',
8755
        'dout' => 'to_register19_dout_net',
8756
        'en' => 'constant5_op_net_x10',
8757
      },
8758
      'entity' => {
8759
        'attributes' => {
8760
          'generics' => [
8761
          ],
8762
          'is_floating_block' => 1,
8763
          'mask' => {
8764
            'Block_Handle' => 2128.00048828125,
8765
            'Block_handle' => 2128.00048828125,
8766
            'MDL_Handle' => 2083.00048828125,
8767
            'MDL_handle' => 2083.00048828125,
8768
            'arith_type' => 1,
8769
            'bin_pt' => 14,
8770
            'block_config' => 'sysgen_blockset:toreg_config',
8771
            'block_handle' => 2128.00048828125,
8772
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8773
            'block_type' => 'toreg',
8774
            'dbl_ovrd' => 0,
8775
            'explicit_data_type' => 0,
8776
            'gui_display_data_type' => 1,
8777
            'init' => 0,
8778
            'init_bit_vector' => '\'b0',
8779
            'mdl_handle' => 2083.00048828125,
8780
            'model_handle' => 2083.00048828125,
8781
            'n_bits' => 16,
8782
            'ownership' => 1,
8783
            'preci_type' => 1,
8784
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8785
            'shared_memory_name' => 'DMA_Host2Board_Done',
8786
          },
8787
          'needs_vhdl_wrapper' => 0,
8788
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8789
        },
8790
        'entityName' => 'x_x9',
8791
        'ports' => {
8792
          'ce' => {
8793
            'attributes' => {
8794
              'domain' => '',
8795
              'group' => 1,
8796
              'isCe' => 1,
8797
              'is_floating_block' => 1,
8798
              'period' => 1,
8799
              'type' => 'logic',
8800
            },
8801
            'direction' => 'in',
8802
            'hdlType' => 'std_logic',
8803
            'width' => 1,
8804
          },
8805
          'clk' => {
8806
            'attributes' => {
8807
              'domain' => '',
8808
              'group' => 1,
8809
              'isClk' => 1,
8810
              'is_floating_block' => 1,
8811
              'period' => 1,
8812
              'type' => 'logic',
8813
            },
8814
            'direction' => 'in',
8815
            'hdlType' => 'std_logic',
8816
            'width' => 1,
8817
          },
8818
          'clr' => {
8819
            'attributes' => {
8820
              'domain' => '',
8821
              'group' => 1,
8822
              'isClr' => 1,
8823
              'is_floating_block' => 1,
8824
              'period' => 1,
8825
              'type' => 'logic',
8826
              'valid_bit_used' => 0,
8827
            },
8828
            'direction' => 'in',
8829
            'hdlType' => 'std_logic',
8830
            'width' => 1,
8831
          },
8832
          'data_in' => {
8833
            'attributes' => {
8834
              'bin_pt' => 0,
8835
              'is_floating_block' => 1,
8836
              'must_be_hdl_vector' => 1,
8837
              'period' => 1,
8838
              'port_id' => 0,
8839
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/data_in',
8840
              'type' => 'UFix_1_0',
8841
            },
8842
            'direction' => 'in',
8843
            'hdlType' => 'std_logic_vector(0 downto 0)',
8844
            'width' => 1,
8845
          },
8846
          'dout' => {
8847
            'attributes' => {
8848
              'bin_pt' => 0,
8849
              'is_floating_block' => 1,
8850
              'must_be_hdl_vector' => 1,
8851
              'period' => 1,
8852
              'port_id' => 0,
8853
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/dout',
8854
              'type' => 'UFix_1_0',
8855
            },
8856
            'direction' => 'out',
8857
            'hdlType' => 'std_logic_vector(0 downto 0)',
8858
            'width' => 1,
8859
          },
8860
          'en' => {
8861
            'attributes' => {
8862
              'bin_pt' => 0,
8863
              'is_floating_block' => 1,
8864
              'must_be_hdl_vector' => 1,
8865
              'period' => 1,
8866
              'port_id' => 1,
8867
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/en',
8868
              'type' => 'Bool',
8869
            },
8870
            'direction' => 'in',
8871
            'hdlType' => 'std_logic_vector(0 downto 0)',
8872
            'width' => 1,
8873
          },
8874
        },
8875
      },
8876
      'entityName' => 'x_x9',
8877
    },
8878
    'to_register2' => {
8879
      'connections' => {
8880
        'ce' => 'ce_1_sg',
8881
        'clk' => 'clk_1_sg',
8882
        'clr' => [
8883
          'constant',
8884
          '\'0\'',
8885
        ],
8886
        'data_in' => 'debug_in_3i_net_x0',
8887
        'dout' => 'to_register2_dout_net',
8888
        'en' => 'constant5_op_net_x11',
8889
      },
8890
      'entity' => {
8891
        'attributes' => {
8892
          'generics' => [
8893
          ],
8894
          'is_floating_block' => 1,
8895
          'mask' => {
8896
            'Block_Handle' => 2129.00048828125,
8897
            'Block_handle' => 2129.00048828125,
8898
            'MDL_Handle' => 2083.00048828125,
8899
            'MDL_handle' => 2083.00048828125,
8900
            'arith_type' => 1,
8901
            'bin_pt' => 14,
8902
            'block_config' => 'sysgen_blockset:toreg_config',
8903
            'block_handle' => 2129.00048828125,
8904
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8905
            'block_type' => 'toreg',
8906
            'dbl_ovrd' => 0,
8907
            'explicit_data_type' => 0,
8908
            'gui_display_data_type' => 1,
8909
            'init' => 0,
8910
            'init_bit_vector' => '\'b00000000000000000000000000000000',
8911
            'mdl_handle' => 2083.00048828125,
8912
            'model_handle' => 2083.00048828125,
8913
            'n_bits' => 16,
8914
            'ownership' => 1,
8915
            'preci_type' => 1,
8916
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8917
            'shared_memory_name' => 'debug3i',
8918
          },
8919
          'needs_vhdl_wrapper' => 0,
8920
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8921
        },
8922
        'entityName' => 'x_x10',
8923
        'ports' => {
8924
          'ce' => {
8925
            'attributes' => {
8926
              'domain' => '',
8927
              'group' => 1,
8928
              'isCe' => 1,
8929
              'is_floating_block' => 1,
8930
              'period' => 1,
8931
              'type' => 'logic',
8932
            },
8933
            'direction' => 'in',
8934
            'hdlType' => 'std_logic',
8935
            'width' => 1,
8936
          },
8937
          'clk' => {
8938
            'attributes' => {
8939
              'domain' => '',
8940
              'group' => 1,
8941
              'isClk' => 1,
8942
              'is_floating_block' => 1,
8943
              'period' => 1,
8944
              'type' => 'logic',
8945
            },
8946
            'direction' => 'in',
8947
            'hdlType' => 'std_logic',
8948
            'width' => 1,
8949
          },
8950
          'clr' => {
8951
            'attributes' => {
8952
              'domain' => '',
8953
              'group' => 1,
8954
              'isClr' => 1,
8955
              'is_floating_block' => 1,
8956
              'period' => 1,
8957
              'type' => 'logic',
8958
              'valid_bit_used' => 0,
8959
            },
8960
            'direction' => 'in',
8961
            'hdlType' => 'std_logic',
8962
            'width' => 1,
8963
          },
8964
          'data_in' => {
8965
            'attributes' => {
8966
              'bin_pt' => 0,
8967
              'is_floating_block' => 1,
8968
              'must_be_hdl_vector' => 1,
8969
              'period' => 1,
8970
              'port_id' => 0,
8971
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/data_in',
8972
              'type' => 'UFix_32_0',
8973
            },
8974
            'direction' => 'in',
8975
            'hdlType' => 'std_logic_vector(31 downto 0)',
8976
            'width' => 32,
8977
          },
8978
          'dout' => {
8979
            'attributes' => {
8980
              'bin_pt' => 0,
8981
              'is_floating_block' => 1,
8982
              'must_be_hdl_vector' => 1,
8983
              'period' => 1,
8984
              'port_id' => 0,
8985
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/dout',
8986
              'type' => 'UFix_32_0',
8987
            },
8988
            'direction' => 'out',
8989
            'hdlType' => 'std_logic_vector(31 downto 0)',
8990
            'width' => 32,
8991
          },
8992
          'en' => {
8993
            'attributes' => {
8994
              'bin_pt' => 0,
8995
              'is_floating_block' => 1,
8996
              'must_be_hdl_vector' => 1,
8997
              'period' => 1,
8998
              'port_id' => 1,
8999
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/en',
9000
              'type' => 'Bool',
9001
            },
9002
            'direction' => 'in',
9003
            'hdlType' => 'std_logic_vector(0 downto 0)',
9004
            'width' => 1,
9005
          },
9006
        },
9007
      },
9008
      'entityName' => 'x_x10',
9009
    },
9010
    'to_register20' => {
9011
      'connections' => {
9012
        'ce' => 'ce_1_sg',
9013
        'clk' => 'clk_1_sg',
9014
        'clr' => [
9015
          'constant',
9016
          '\'0\'',
9017
        ],
9018
        'data_in' => 'debug_in_4i_net_x0',
9019
        'dout' => 'to_register20_dout_net',
9020
        'en' => 'constant5_op_net_x12',
9021
      },
9022
      'entity' => {
9023
        'attributes' => {
9024
          'generics' => [
9025
          ],
9026
          'is_floating_block' => 1,
9027
          'mask' => {
9028
            'Block_Handle' => 2130.00048828125,
9029
            'Block_handle' => 2130.00048828125,
9030
            'MDL_Handle' => 2083.00048828125,
9031
            'MDL_handle' => 2083.00048828125,
9032
            'arith_type' => 1,
9033
            'bin_pt' => 14,
9034
            'block_config' => 'sysgen_blockset:toreg_config',
9035
            'block_handle' => 2130.00048828125,
9036
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
9037
            'block_type' => 'toreg',
9038
            'dbl_ovrd' => 0,
9039
            'explicit_data_type' => 0,
9040
            'gui_display_data_type' => 1,
9041
            'init' => 0,
9042
            'init_bit_vector' => '\'b00000000000000000000000000000000',
9043
            'mdl_handle' => 2083.00048828125,
9044
            'model_handle' => 2083.00048828125,
9045
            'n_bits' => 16,
9046
            'ownership' => 1,
9047
            'preci_type' => 1,
9048
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9049
            'shared_memory_name' => 'debug4i',
9050
          },
9051
          'needs_vhdl_wrapper' => 0,
9052
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
9053
        },
9054
        'entityName' => 'x_x11',
9055
        'ports' => {
9056
          'ce' => {
9057
            'attributes' => {
9058
              'domain' => '',
9059
              'group' => 1,
9060
              'isCe' => 1,
9061
              'is_floating_block' => 1,
9062
              'period' => 1,
9063
              'type' => 'logic',
9064
            },
9065
            'direction' => 'in',
9066
            'hdlType' => 'std_logic',
9067
            'width' => 1,
9068
          },
9069
          'clk' => {
9070
            'attributes' => {
9071
              'domain' => '',
9072
              'group' => 1,
9073
              'isClk' => 1,
9074
              'is_floating_block' => 1,
9075
              'period' => 1,
9076
              'type' => 'logic',
9077
            },
9078
            'direction' => 'in',
9079
            'hdlType' => 'std_logic',
9080
            'width' => 1,
9081
          },
9082
          'clr' => {
9083
            'attributes' => {
9084
              'domain' => '',
9085
              'group' => 1,
9086
              'isClr' => 1,
9087
              'is_floating_block' => 1,
9088
              'period' => 1,
9089
              'type' => 'logic',
9090
              'valid_bit_used' => 0,
9091
            },
9092
            'direction' => 'in',
9093
            'hdlType' => 'std_logic',
9094
            'width' => 1,
9095
          },
9096
          'data_in' => {
9097
            'attributes' => {
9098
              'bin_pt' => 0,
9099
              'is_floating_block' => 1,
9100
              'must_be_hdl_vector' => 1,
9101
              'period' => 1,
9102
              'port_id' => 0,
9103
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/data_in',
9104
              'type' => 'UFix_32_0',
9105
            },
9106
            'direction' => 'in',
9107
            'hdlType' => 'std_logic_vector(31 downto 0)',
9108
            'width' => 32,
9109
          },
9110
          'dout' => {
9111
            'attributes' => {
9112
              'bin_pt' => 0,
9113
              'is_floating_block' => 1,
9114
              'must_be_hdl_vector' => 1,
9115
              'period' => 1,
9116
              'port_id' => 0,
9117
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/dout',
9118
              'type' => 'UFix_32_0',
9119
            },
9120
            'direction' => 'out',
9121
            'hdlType' => 'std_logic_vector(31 downto 0)',
9122
            'width' => 32,
9123
          },
9124
          'en' => {
9125
            'attributes' => {
9126
              'bin_pt' => 0,
9127
              'is_floating_block' => 1,
9128
              'must_be_hdl_vector' => 1,
9129
              'period' => 1,
9130
              'port_id' => 1,
9131
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/en',
9132
              'type' => 'Bool',
9133
            },
9134
            'direction' => 'in',
9135
            'hdlType' => 'std_logic_vector(0 downto 0)',
9136
            'width' => 1,
9137
          },
9138
        },
9139
      },
9140
      'entityName' => 'x_x11',
9141
    },
9142
    'to_register21' => {
9143
      'connections' => {
9144
        'ce' => 'ce_1_sg',
9145
        'clk' => 'clk_1_sg',
9146
        'clr' => [
9147
          'constant',
9148
          '\'0\'',
9149
        ],
9150
        'data_in' => 'reg09_tv_net_x0',
9151
        'dout' => 'to_register21_dout_net',
9152
        'en' => 'constant1_op_net_x0',
9153
      },
9154
      'entity' => {
9155
        'attributes' => {
9156
          'generics' => [
9157
          ],
9158
          'is_floating_block' => 1,
9159
          'mask' => {
9160
            'Block_Handle' => 2131.00048828125,
9161
            'Block_handle' => 2131.00048828125,
9162
            'MDL_Handle' => 2083.00048828125,
9163
            'MDL_handle' => 2083.00048828125,
9164
            'arith_type' => 1,
9165
            'bin_pt' => 14,
9166
            'block_config' => 'sysgen_blockset:toreg_config',
9167
            'block_handle' => 2131.00048828125,
9168
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
9169
            'block_type' => 'toreg',
9170
            'dbl_ovrd' => 0,
9171
            'explicit_data_type' => 0,
9172
            'gui_display_data_type' => 1,
9173
            'init' => 0,
9174
            'init_bit_vector' => '\'b0',
9175
            'mdl_handle' => 2083.00048828125,
9176
            'model_handle' => 2083.00048828125,
9177
            'n_bits' => 16,
9178
            'ownership' => 1,
9179
            'preci_type' => 1,
9180
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9181
            'shared_memory_name' => 'register09tv',
9182
          },
9183
          'needs_vhdl_wrapper' => 0,
9184
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
9185
        },
9186
        'entityName' => 'x_x12',
9187
        'ports' => {
9188
          'ce' => {
9189
            'attributes' => {
9190
              'domain' => '',
9191
              'group' => 1,
9192
              'isCe' => 1,
9193
              'is_floating_block' => 1,
9194
              'period' => 1,
9195
              'type' => 'logic',
9196
            },
9197
            'direction' => 'in',
9198
            'hdlType' => 'std_logic',
9199
            'width' => 1,
9200
          },
9201
          'clk' => {
9202
            'attributes' => {
9203
              'domain' => '',
9204
              'group' => 1,
9205
              'isClk' => 1,
9206
              'is_floating_block' => 1,
9207
              'period' => 1,
9208
              'type' => 'logic',
9209
            },
9210
            'direction' => 'in',
9211
            'hdlType' => 'std_logic',
9212
            'width' => 1,
9213
          },
9214
          'clr' => {
9215
            'attributes' => {
9216
              'domain' => '',
9217
              'group' => 1,
9218
              'isClr' => 1,
9219
              'is_floating_block' => 1,
9220
              'period' => 1,
9221
              'type' => 'logic',
9222
              'valid_bit_used' => 0,
9223
            },
9224
            'direction' => 'in',
9225
            'hdlType' => 'std_logic',
9226
            'width' => 1,
9227
          },
9228
          'data_in' => {
9229
            'attributes' => {
9230
              'bin_pt' => 0,
9231
              'is_floating_block' => 1,
9232
              'must_be_hdl_vector' => 1,
9233
              'period' => 1,
9234
              'port_id' => 0,
9235
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/data_in',
9236
              'type' => 'Bool',
9237
            },
9238
            'direction' => 'in',
9239
            'hdlType' => 'std_logic_vector(0 downto 0)',
9240
            'width' => 1,
9241
          },
9242
          'dout' => {
9243
            'attributes' => {
9244
              'bin_pt' => 0,
9245
              'is_floating_block' => 1,
9246
              'must_be_hdl_vector' => 1,
9247
              'period' => 1,
9248
              'port_id' => 0,
9249
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/dout',
9250
              'type' => 'Bool',
9251
            },
9252
            'direction' => 'out',
9253
            'hdlType' => 'std_logic_vector(0 downto 0)',
9254
            'width' => 1,
9255
          },
9256
          'en' => {
9257
            'attributes' => {
9258
              'bin_pt' => 0,
9259
              'is_floating_block' => 1,
9260
              'must_be_hdl_vector' => 1,
9261
              'period' => 1,
9262
              'port_id' => 1,
9263
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/en',
9264
              'type' => 'Bool',
9265
            },
9266
            'direction' => 'in',
9267
            'hdlType' => 'std_logic_vector(0 downto 0)',
9268
            'width' => 1,
9269
          },
9270
        },
9271
      },
9272
      'entityName' => 'x_x12',
9273
    },
9274
    'to_register22' => {
9275
      'connections' => {
9276
        'ce' => 'ce_1_sg',
9277
        'clk' => 'clk_1_sg',
9278
        'clr' => [
9279
          'constant',
9280
          '\'0\'',
9281
        ],
9282
        'data_in' => 'reg09_td_net_x0',
9283
        'dout' => 'to_register22_dout_net',
9284
        'en' => 'constant1_op_net_x1',
9285
      },
9286
      'entity' => {
9287
        'attributes' => {
9288
          'generics' => [
9289
          ],
9290
          'is_floating_block' => 1,
9291
          'mask' => {
9292
            'Block_Handle' => 2132.00048828125,
9293
            'Block_handle' => 2132.00048828125,
9294
            'MDL_Handle' => 2083.00048828125,
9295
            'MDL_handle' => 2083.00048828125,
9296
            'arith_type' => 1,
9297
            'bin_pt' => 14,
9298
            'block_config' => 'sysgen_blockset:toreg_config',
9299
            'block_handle' => 2132.00048828125,
9300
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
9301
            'block_type' => 'toreg',
9302
            'dbl_ovrd' => 0,
9303
            'explicit_data_type' => 0,
9304
            'gui_display_data_type' => 1,
9305
            'init' => 0,
9306
            'init_bit_vector' => '\'b00000000000000000000000000000000',
9307
            'mdl_handle' => 2083.00048828125,
9308
            'model_handle' => 2083.00048828125,
9309
            'n_bits' => 16,
9310
            'ownership' => 1,
9311
            'preci_type' => 1,
9312
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9313
            'shared_memory_name' => 'register09td',
9314
          },
9315
          'needs_vhdl_wrapper' => 0,
9316
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
9317
        },
9318
        'entityName' => 'x_x13',
9319
        'ports' => {
9320
          'ce' => {
9321
            'attributes' => {
9322
              'domain' => '',
9323
              'group' => 1,
9324
              'isCe' => 1,
9325
              'is_floating_block' => 1,
9326
              'period' => 1,
9327
              'type' => 'logic',
9328
            },
9329
            'direction' => 'in',
9330
            'hdlType' => 'std_logic',
9331
            'width' => 1,
9332
          },
9333
          'clk' => {
9334
            'attributes' => {
9335
              'domain' => '',
9336
              'group' => 1,
9337
              'isClk' => 1,
9338
              'is_floating_block' => 1,
9339
              'period' => 1,
9340
              'type' => 'logic',
9341
            },
9342
            'direction' => 'in',
9343
            'hdlType' => 'std_logic',
9344
            'width' => 1,
9345
          },
9346
          'clr' => {
9347
            'attributes' => {
9348
              'domain' => '',
9349
              'group' => 1,
9350
              'isClr' => 1,
9351
              'is_floating_block' => 1,
9352
              'period' => 1,
9353
              'type' => 'logic',
9354
              'valid_bit_used' => 0,
9355
            },
9356
            'direction' => 'in',
9357
            'hdlType' => 'std_logic',
9358
            'width' => 1,
9359
          },
9360
          'data_in' => {
9361
            'attributes' => {
9362
              'bin_pt' => 0,
9363
              'is_floating_block' => 1,
9364
              'must_be_hdl_vector' => 1,
9365
              'period' => 1,
9366
              'port_id' => 0,
9367
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/data_in',
9368
              'type' => 'UFix_32_0',
9369
            },
9370
            'direction' => 'in',
9371
            'hdlType' => 'std_logic_vector(31 downto 0)',
9372
            'width' => 32,
9373
          },
9374
          'dout' => {
9375
            'attributes' => {
9376
              'bin_pt' => 0,
9377
              'is_floating_block' => 1,
9378
              'must_be_hdl_vector' => 1,
9379
              'period' => 1,
9380
              'port_id' => 0,
9381
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/dout',
9382
              'type' => 'UFix_32_0',
9383
            },
9384
            'direction' => 'out',
9385
            'hdlType' => 'std_logic_vector(31 downto 0)',
9386
            'width' => 32,
9387
          },
9388
          'en' => {
9389
            'attributes' => {
9390
              'bin_pt' => 0,
9391
              'is_floating_block' => 1,
9392
              'must_be_hdl_vector' => 1,
9393
              'period' => 1,
9394
              'port_id' => 1,
9395
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/en',
9396
              'type' => 'Bool',
9397
            },
9398
            'direction' => 'in',
9399
            'hdlType' => 'std_logic_vector(0 downto 0)',
9400
            'width' => 1,
9401
          },
9402
        },
9403
      },
9404
      'entityName' => 'x_x13',
9405
    },
9406
    'to_register23' => {
9407
      'connections' => {
9408
        'ce' => 'ce_1_sg',
9409
        'clk' => 'clk_1_sg',
9410
        'clr' => [
9411
          'constant',
9412
          '\'0\'',
9413
        ],
9414
        'data_in' => 'reg10_tv_net_x0',
9415
        'dout' => 'to_register23_dout_net',
9416
        'en' => 'constant1_op_net_x2',
9417
      },
9418
      'entity' => {
9419
        'attributes' => {
9420
          'generics' => [
9421
          ],
9422
          'is_floating_block' => 1,
9423
          'mask' => {
9424
            'Block_Handle' => 2133.00048828125,
9425
            'Block_handle' => 2133.00048828125,
9426
            'MDL_Handle' => 2083.00048828125,
9427
            'MDL_handle' => 2083.00048828125,
9428
            'arith_type' => 1,
9429
            'bin_pt' => 14,
9430
            'block_config' => 'sysgen_blockset:toreg_config',
9431
            'block_handle' => 2133.00048828125,
9432
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9433
            'block_type' => 'toreg',
9434
            'dbl_ovrd' => 0,
9435
            'explicit_data_type' => 0,
9436
            'gui_display_data_type' => 1,
9437
            'init' => 0,
9438
            'init_bit_vector' => '\'b0',
9439
            'mdl_handle' => 2083.00048828125,
9440
            'model_handle' => 2083.00048828125,
9441
            'n_bits' => 16,
9442
            'ownership' => 1,
9443
            'preci_type' => 1,
9444
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9445
            'shared_memory_name' => 'register10tv',
9446
          },
9447
          'needs_vhdl_wrapper' => 0,
9448
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9449
        },
9450
        'entityName' => 'x_x14',
9451
        'ports' => {
9452
          'ce' => {
9453
            'attributes' => {
9454
              'domain' => '',
9455
              'group' => 1,
9456
              'isCe' => 1,
9457
              'is_floating_block' => 1,
9458
              'period' => 1,
9459
              'type' => 'logic',
9460
            },
9461
            'direction' => 'in',
9462
            'hdlType' => 'std_logic',
9463
            'width' => 1,
9464
          },
9465
          'clk' => {
9466
            'attributes' => {
9467
              'domain' => '',
9468
              'group' => 1,
9469
              'isClk' => 1,
9470
              'is_floating_block' => 1,
9471
              'period' => 1,
9472
              'type' => 'logic',
9473
            },
9474
            'direction' => 'in',
9475
            'hdlType' => 'std_logic',
9476
            'width' => 1,
9477
          },
9478
          'clr' => {
9479
            'attributes' => {
9480
              'domain' => '',
9481
              'group' => 1,
9482
              'isClr' => 1,
9483
              'is_floating_block' => 1,
9484
              'period' => 1,
9485
              'type' => 'logic',
9486
              'valid_bit_used' => 0,
9487
            },
9488
            'direction' => 'in',
9489
            'hdlType' => 'std_logic',
9490
            'width' => 1,
9491
          },
9492
          'data_in' => {
9493
            'attributes' => {
9494
              'bin_pt' => 0,
9495
              'is_floating_block' => 1,
9496
              'must_be_hdl_vector' => 1,
9497
              'period' => 1,
9498
              'port_id' => 0,
9499
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/data_in',
9500
              'type' => 'Bool',
9501
            },
9502
            'direction' => 'in',
9503
            'hdlType' => 'std_logic_vector(0 downto 0)',
9504
            'width' => 1,
9505
          },
9506
          'dout' => {
9507
            'attributes' => {
9508
              'bin_pt' => 0,
9509
              'is_floating_block' => 1,
9510
              'must_be_hdl_vector' => 1,
9511
              'period' => 1,
9512
              'port_id' => 0,
9513
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/dout',
9514
              'type' => 'Bool',
9515
            },
9516
            'direction' => 'out',
9517
            'hdlType' => 'std_logic_vector(0 downto 0)',
9518
            'width' => 1,
9519
          },
9520
          'en' => {
9521
            'attributes' => {
9522
              'bin_pt' => 0,
9523
              'is_floating_block' => 1,
9524
              'must_be_hdl_vector' => 1,
9525
              'period' => 1,
9526
              'port_id' => 1,
9527
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/en',
9528
              'type' => 'Bool',
9529
            },
9530
            'direction' => 'in',
9531
            'hdlType' => 'std_logic_vector(0 downto 0)',
9532
            'width' => 1,
9533
          },
9534
        },
9535
      },
9536
      'entityName' => 'x_x14',
9537
    },
9538
    'to_register24' => {
9539
      'connections' => {
9540
        'ce' => 'ce_1_sg',
9541
        'clk' => 'clk_1_sg',
9542
        'clr' => [
9543
          'constant',
9544
          '\'0\'',
9545
        ],
9546
        'data_in' => 'reg10_td_net_x0',
9547
        'dout' => 'to_register24_dout_net',
9548
        'en' => 'constant1_op_net_x3',
9549
      },
9550
      'entity' => {
9551
        'attributes' => {
9552
          'generics' => [
9553
          ],
9554
          'is_floating_block' => 1,
9555
          'mask' => {
9556
            'Block_Handle' => 2134.00048828125,
9557
            'Block_handle' => 2134.00048828125,
9558
            'MDL_Handle' => 2083.00048828125,
9559
            'MDL_handle' => 2083.00048828125,
9560
            'arith_type' => 1,
9561
            'bin_pt' => 14,
9562
            'block_config' => 'sysgen_blockset:toreg_config',
9563
            'block_handle' => 2134.00048828125,
9564
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9565
            'block_type' => 'toreg',
9566
            'dbl_ovrd' => 0,
9567
            'explicit_data_type' => 0,
9568
            'gui_display_data_type' => 1,
9569
            'init' => 0,
9570
            'init_bit_vector' => '\'b00000000000000000000000000000000',
9571
            'mdl_handle' => 2083.00048828125,
9572
            'model_handle' => 2083.00048828125,
9573
            'n_bits' => 16,
9574
            'ownership' => 1,
9575
            'preci_type' => 1,
9576
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9577
            'shared_memory_name' => 'register10td',
9578
          },
9579
          'needs_vhdl_wrapper' => 0,
9580
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9581
        },
9582
        'entityName' => 'x_x15',
9583
        'ports' => {
9584
          'ce' => {
9585
            'attributes' => {
9586
              'domain' => '',
9587
              'group' => 1,
9588
              'isCe' => 1,
9589
              'is_floating_block' => 1,
9590
              'period' => 1,
9591
              'type' => 'logic',
9592
            },
9593
            'direction' => 'in',
9594
            'hdlType' => 'std_logic',
9595
            'width' => 1,
9596
          },
9597
          'clk' => {
9598
            'attributes' => {
9599
              'domain' => '',
9600
              'group' => 1,
9601
              'isClk' => 1,
9602
              'is_floating_block' => 1,
9603
              'period' => 1,
9604
              'type' => 'logic',
9605
            },
9606
            'direction' => 'in',
9607
            'hdlType' => 'std_logic',
9608
            'width' => 1,
9609
          },
9610
          'clr' => {
9611
            'attributes' => {
9612
              'domain' => '',
9613
              'group' => 1,
9614
              'isClr' => 1,
9615
              'is_floating_block' => 1,
9616
              'period' => 1,
9617
              'type' => 'logic',
9618
              'valid_bit_used' => 0,
9619
            },
9620
            'direction' => 'in',
9621
            'hdlType' => 'std_logic',
9622
            'width' => 1,
9623
          },
9624
          'data_in' => {
9625
            'attributes' => {
9626
              'bin_pt' => 0,
9627
              'is_floating_block' => 1,
9628
              'must_be_hdl_vector' => 1,
9629
              'period' => 1,
9630
              'port_id' => 0,
9631
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/data_in',
9632
              'type' => 'UFix_32_0',
9633
            },
9634
            'direction' => 'in',
9635
            'hdlType' => 'std_logic_vector(31 downto 0)',
9636
            'width' => 32,
9637
          },
9638
          'dout' => {
9639
            'attributes' => {
9640
              'bin_pt' => 0,
9641
              'is_floating_block' => 1,
9642
              'must_be_hdl_vector' => 1,
9643
              'period' => 1,
9644
              'port_id' => 0,
9645
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/dout',
9646
              'type' => 'UFix_32_0',
9647
            },
9648
            'direction' => 'out',
9649
            'hdlType' => 'std_logic_vector(31 downto 0)',
9650
            'width' => 32,
9651
          },
9652
          'en' => {
9653
            'attributes' => {
9654
              'bin_pt' => 0,
9655
              'is_floating_block' => 1,
9656
              'must_be_hdl_vector' => 1,
9657
              'period' => 1,
9658
              'port_id' => 1,
9659
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/en',
9660
              'type' => 'Bool',
9661
            },
9662
            'direction' => 'in',
9663
            'hdlType' => 'std_logic_vector(0 downto 0)',
9664
            'width' => 1,
9665
          },
9666
        },
9667
      },
9668
      'entityName' => 'x_x15',
9669
    },
9670
    'to_register25' => {
9671
      'connections' => {
9672
        'ce' => 'ce_1_sg',
9673
        'clk' => 'clk_1_sg',
9674
        'clr' => [
9675
          'constant',
9676
          '\'0\'',
9677
        ],
9678
        'data_in' => 'reg08_tv_net_x0',
9679
        'dout' => 'to_register25_dout_net',
9680
        'en' => 'constant1_op_net_x4',
9681
      },
9682
      'entity' => {
9683
        'attributes' => {
9684
          'generics' => [
9685
          ],
9686
          'is_floating_block' => 1,
9687
          'mask' => {
9688
            'Block_Handle' => 2135.00048828125,
9689
            'Block_handle' => 2135.00048828125,
9690
            'MDL_Handle' => 2083.00048828125,
9691
            'MDL_handle' => 2083.00048828125,
9692
            'arith_type' => 1,
9693
            'bin_pt' => 14,
9694
            'block_config' => 'sysgen_blockset:toreg_config',
9695
            'block_handle' => 2135.00048828125,
9696
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9697
            'block_type' => 'toreg',
9698
            'dbl_ovrd' => 0,
9699
            'explicit_data_type' => 0,
9700
            'gui_display_data_type' => 1,
9701
            'init' => 0,
9702
            'init_bit_vector' => '\'b0',
9703
            'mdl_handle' => 2083.00048828125,
9704
            'model_handle' => 2083.00048828125,
9705
            'n_bits' => 16,
9706
            'ownership' => 1,
9707
            'preci_type' => 1,
9708
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9709
            'shared_memory_name' => 'register08tv',
9710
          },
9711
          'needs_vhdl_wrapper' => 0,
9712
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9713
        },
9714
        'entityName' => 'x_x16',
9715
        'ports' => {
9716
          'ce' => {
9717
            'attributes' => {
9718
              'domain' => '',
9719
              'group' => 1,
9720
              'isCe' => 1,
9721
              'is_floating_block' => 1,
9722
              'period' => 1,
9723
              'type' => 'logic',
9724
            },
9725
            'direction' => 'in',
9726
            'hdlType' => 'std_logic',
9727
            'width' => 1,
9728
          },
9729
          'clk' => {
9730
            'attributes' => {
9731
              'domain' => '',
9732
              'group' => 1,
9733
              'isClk' => 1,
9734
              'is_floating_block' => 1,
9735
              'period' => 1,
9736
              'type' => 'logic',
9737
            },
9738
            'direction' => 'in',
9739
            'hdlType' => 'std_logic',
9740
            'width' => 1,
9741
          },
9742
          'clr' => {
9743
            'attributes' => {
9744
              'domain' => '',
9745
              'group' => 1,
9746
              'isClr' => 1,
9747
              'is_floating_block' => 1,
9748
              'period' => 1,
9749
              'type' => 'logic',
9750
              'valid_bit_used' => 0,
9751
            },
9752
            'direction' => 'in',
9753
            'hdlType' => 'std_logic',
9754
            'width' => 1,
9755
          },
9756
          'data_in' => {
9757
            'attributes' => {
9758
              'bin_pt' => 0,
9759
              'is_floating_block' => 1,
9760
              'must_be_hdl_vector' => 1,
9761
              'period' => 1,
9762
              'port_id' => 0,
9763
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/data_in',
9764
              'type' => 'Bool',
9765
            },
9766
            'direction' => 'in',
9767
            'hdlType' => 'std_logic_vector(0 downto 0)',
9768
            'width' => 1,
9769
          },
9770
          'dout' => {
9771
            'attributes' => {
9772
              'bin_pt' => 0,
9773
              'is_floating_block' => 1,
9774
              'must_be_hdl_vector' => 1,
9775
              'period' => 1,
9776
              'port_id' => 0,
9777
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/dout',
9778
              'type' => 'Bool',
9779
            },
9780
            'direction' => 'out',
9781
            'hdlType' => 'std_logic_vector(0 downto 0)',
9782
            'width' => 1,
9783
          },
9784
          'en' => {
9785
            'attributes' => {
9786
              'bin_pt' => 0,
9787
              'is_floating_block' => 1,
9788
              'must_be_hdl_vector' => 1,
9789
              'period' => 1,
9790
              'port_id' => 1,
9791
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/en',
9792
              'type' => 'Bool',
9793
            },
9794
            'direction' => 'in',
9795
            'hdlType' => 'std_logic_vector(0 downto 0)',
9796
            'width' => 1,
9797
          },
9798
        },
9799
      },
9800
      'entityName' => 'x_x16',
9801
    },
9802
    'to_register26' => {
9803
      'connections' => {
9804
        'ce' => 'ce_1_sg',
9805
        'clk' => 'clk_1_sg',
9806
        'clr' => [
9807
          'constant',
9808
          '\'0\'',
9809
        ],
9810
        'data_in' => 'reg08_td_net_x0',
9811
        'dout' => 'to_register26_dout_net',
9812
        'en' => 'constant1_op_net_x5',
9813
      },
9814
      'entity' => {
9815
        'attributes' => {
9816
          'generics' => [
9817
          ],
9818
          'is_floating_block' => 1,
9819
          'mask' => {
9820
            'Block_Handle' => 2136.00048828125,
9821
            'Block_handle' => 2136.00048828125,
9822
            'MDL_Handle' => 2083.00048828125,
9823
            'MDL_handle' => 2083.00048828125,
9824
            'arith_type' => 1,
9825
            'bin_pt' => 14,
9826
            'block_config' => 'sysgen_blockset:toreg_config',
9827
            'block_handle' => 2136.00048828125,
9828
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9829
            'block_type' => 'toreg',
9830
            'dbl_ovrd' => 0,
9831
            'explicit_data_type' => 0,
9832
            'gui_display_data_type' => 1,
9833
            'init' => 0,
9834
            'init_bit_vector' => '\'b00000000000000000000000000000000',
9835
            'mdl_handle' => 2083.00048828125,
9836
            'model_handle' => 2083.00048828125,
9837
            'n_bits' => 16,
9838
            'ownership' => 1,
9839
            'preci_type' => 1,
9840
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9841
            'shared_memory_name' => 'register08td',
9842
          },
9843
          'needs_vhdl_wrapper' => 0,
9844
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9845
        },
9846
        'entityName' => 'x_x17',
9847
        'ports' => {
9848
          'ce' => {
9849
            'attributes' => {
9850
              'domain' => '',
9851
              'group' => 1,
9852
              'isCe' => 1,
9853
              'is_floating_block' => 1,
9854
              'period' => 1,
9855
              'type' => 'logic',
9856
            },
9857
            'direction' => 'in',
9858
            'hdlType' => 'std_logic',
9859
            'width' => 1,
9860
          },
9861
          'clk' => {
9862
            'attributes' => {
9863
              'domain' => '',
9864
              'group' => 1,
9865
              'isClk' => 1,
9866
              'is_floating_block' => 1,
9867
              'period' => 1,
9868
              'type' => 'logic',
9869
            },
9870
            'direction' => 'in',
9871
            'hdlType' => 'std_logic',
9872
            'width' => 1,
9873
          },
9874
          'clr' => {
9875
            'attributes' => {
9876
              'domain' => '',
9877
              'group' => 1,
9878
              'isClr' => 1,
9879
              'is_floating_block' => 1,
9880
              'period' => 1,
9881
              'type' => 'logic',
9882
              'valid_bit_used' => 0,
9883
            },
9884
            'direction' => 'in',
9885
            'hdlType' => 'std_logic',
9886
            'width' => 1,
9887
          },
9888
          'data_in' => {
9889
            'attributes' => {
9890
              'bin_pt' => 0,
9891
              'is_floating_block' => 1,
9892
              'must_be_hdl_vector' => 1,
9893
              'period' => 1,
9894
              'port_id' => 0,
9895
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/data_in',
9896
              'type' => 'UFix_32_0',
9897
            },
9898
            'direction' => 'in',
9899
            'hdlType' => 'std_logic_vector(31 downto 0)',
9900
            'width' => 32,
9901
          },
9902
          'dout' => {
9903
            'attributes' => {
9904
              'bin_pt' => 0,
9905
              'is_floating_block' => 1,
9906
              'must_be_hdl_vector' => 1,
9907
              'period' => 1,
9908
              'port_id' => 0,
9909
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/dout',
9910
              'type' => 'UFix_32_0',
9911
            },
9912
            'direction' => 'out',
9913
            'hdlType' => 'std_logic_vector(31 downto 0)',
9914
            'width' => 32,
9915
          },
9916
          'en' => {
9917
            'attributes' => {
9918
              'bin_pt' => 0,
9919
              'is_floating_block' => 1,
9920
              'must_be_hdl_vector' => 1,
9921
              'period' => 1,
9922
              'port_id' => 1,
9923
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/en',
9924
              'type' => 'Bool',
9925
            },
9926
            'direction' => 'in',
9927
            'hdlType' => 'std_logic_vector(0 downto 0)',
9928
            'width' => 1,
9929
          },
9930
        },
9931
      },
9932
      'entityName' => 'x_x17',
9933
    },
9934
    'to_register27' => {
9935
      'connections' => {
9936
        'ce' => 'ce_1_sg',
9937
        'clk' => 'clk_1_sg',
9938
        'clr' => [
9939
          'constant',
9940
          '\'0\'',
9941
        ],
9942
        'data_in' => 'reg11_tv_net_x0',
9943
        'dout' => 'to_register27_dout_net',
9944
        'en' => 'constant1_op_net_x6',
9945
      },
9946
      'entity' => {
9947
        'attributes' => {
9948
          'generics' => [
9949
          ],
9950
          'is_floating_block' => 1,
9951
          'mask' => {
9952
            'Block_Handle' => 2137.00048828125,
9953
            'Block_handle' => 2137.00048828125,
9954
            'MDL_Handle' => 2083.00048828125,
9955
            'MDL_handle' => 2083.00048828125,
9956
            'arith_type' => 1,
9957
            'bin_pt' => 14,
9958
            'block_config' => 'sysgen_blockset:toreg_config',
9959
            'block_handle' => 2137.00048828125,
9960
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9961
            'block_type' => 'toreg',
9962
            'dbl_ovrd' => 0,
9963
            'explicit_data_type' => 0,
9964
            'gui_display_data_type' => 1,
9965
            'init' => 0,
9966
            'init_bit_vector' => '\'b0',
9967
            'mdl_handle' => 2083.00048828125,
9968
            'model_handle' => 2083.00048828125,
9969
            'n_bits' => 16,
9970
            'ownership' => 1,
9971
            'preci_type' => 1,
9972
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9973
            'shared_memory_name' => 'register11tv',
9974
          },
9975
          'needs_vhdl_wrapper' => 0,
9976
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9977
        },
9978
        'entityName' => 'x_x18',
9979
        'ports' => {
9980
          'ce' => {
9981
            'attributes' => {
9982
              'domain' => '',
9983
              'group' => 1,
9984
              'isCe' => 1,
9985
              'is_floating_block' => 1,
9986
              'period' => 1,
9987
              'type' => 'logic',
9988
            },
9989
            'direction' => 'in',
9990
            'hdlType' => 'std_logic',
9991
            'width' => 1,
9992
          },
9993
          'clk' => {
9994
            'attributes' => {
9995
              'domain' => '',
9996
              'group' => 1,
9997
              'isClk' => 1,
9998
              'is_floating_block' => 1,
9999
              'period' => 1,
10000
              'type' => 'logic',
10001
            },
10002
            'direction' => 'in',
10003
            'hdlType' => 'std_logic',
10004
            'width' => 1,
10005
          },
10006
          'clr' => {
10007
            'attributes' => {
10008
              'domain' => '',
10009
              'group' => 1,
10010
              'isClr' => 1,
10011
              'is_floating_block' => 1,
10012
              'period' => 1,
10013
              'type' => 'logic',
10014
              'valid_bit_used' => 0,
10015
            },
10016
            'direction' => 'in',
10017
            'hdlType' => 'std_logic',
10018
            'width' => 1,
10019
          },
10020
          'data_in' => {
10021
            'attributes' => {
10022
              'bin_pt' => 0,
10023
              'is_floating_block' => 1,
10024
              'must_be_hdl_vector' => 1,
10025
              'period' => 1,
10026
              'port_id' => 0,
10027
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/data_in',
10028
              'type' => 'Bool',
10029
            },
10030
            'direction' => 'in',
10031
            'hdlType' => 'std_logic_vector(0 downto 0)',
10032
            'width' => 1,
10033
          },
10034
          'dout' => {
10035
            'attributes' => {
10036
              'bin_pt' => 0,
10037
              'is_floating_block' => 1,
10038
              'must_be_hdl_vector' => 1,
10039
              'period' => 1,
10040
              'port_id' => 0,
10041
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/dout',
10042
              'type' => 'Bool',
10043
            },
10044
            'direction' => 'out',
10045
            'hdlType' => 'std_logic_vector(0 downto 0)',
10046
            'width' => 1,
10047
          },
10048
          'en' => {
10049
            'attributes' => {
10050
              'bin_pt' => 0,
10051
              'is_floating_block' => 1,
10052
              'must_be_hdl_vector' => 1,
10053
              'period' => 1,
10054
              'port_id' => 1,
10055
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/en',
10056
              'type' => 'Bool',
10057
            },
10058
            'direction' => 'in',
10059
            'hdlType' => 'std_logic_vector(0 downto 0)',
10060
            'width' => 1,
10061
          },
10062
        },
10063
      },
10064
      'entityName' => 'x_x18',
10065
    },
10066
    'to_register28' => {
10067
      'connections' => {
10068
        'ce' => 'ce_1_sg',
10069
        'clk' => 'clk_1_sg',
10070
        'clr' => [
10071
          'constant',
10072
          '\'0\'',
10073
        ],
10074
        'data_in' => 'reg11_td_net_x0',
10075
        'dout' => 'to_register28_dout_net',
10076
        'en' => 'constant1_op_net_x7',
10077
      },
10078
      'entity' => {
10079
        'attributes' => {
10080
          'generics' => [
10081
          ],
10082
          'is_floating_block' => 1,
10083
          'mask' => {
10084
            'Block_Handle' => 2138.00048828125,
10085
            'Block_handle' => 2138.00048828125,
10086
            'MDL_Handle' => 2083.00048828125,
10087
            'MDL_handle' => 2083.00048828125,
10088
            'arith_type' => 1,
10089
            'bin_pt' => 14,
10090
            'block_config' => 'sysgen_blockset:toreg_config',
10091
            'block_handle' => 2138.00048828125,
10092
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
10093
            'block_type' => 'toreg',
10094
            'dbl_ovrd' => 0,
10095
            'explicit_data_type' => 0,
10096
            'gui_display_data_type' => 1,
10097
            'init' => 0,
10098
            'init_bit_vector' => '\'b00000000000000000000000000000000',
10099
            'mdl_handle' => 2083.00048828125,
10100
            'model_handle' => 2083.00048828125,
10101
            'n_bits' => 16,
10102
            'ownership' => 1,
10103
            'preci_type' => 1,
10104
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10105
            'shared_memory_name' => 'register11td',
10106
          },
10107
          'needs_vhdl_wrapper' => 0,
10108
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
10109
        },
10110
        'entityName' => 'x_x19',
10111
        'ports' => {
10112
          'ce' => {
10113
            'attributes' => {
10114
              'domain' => '',
10115
              'group' => 1,
10116
              'isCe' => 1,
10117
              'is_floating_block' => 1,
10118
              'period' => 1,
10119
              'type' => 'logic',
10120
            },
10121
            'direction' => 'in',
10122
            'hdlType' => 'std_logic',
10123
            'width' => 1,
10124
          },
10125
          'clk' => {
10126
            'attributes' => {
10127
              'domain' => '',
10128
              'group' => 1,
10129
              'isClk' => 1,
10130
              'is_floating_block' => 1,
10131
              'period' => 1,
10132
              'type' => 'logic',
10133
            },
10134
            'direction' => 'in',
10135
            'hdlType' => 'std_logic',
10136
            'width' => 1,
10137
          },
10138
          'clr' => {
10139
            'attributes' => {
10140
              'domain' => '',
10141
              'group' => 1,
10142
              'isClr' => 1,
10143
              'is_floating_block' => 1,
10144
              'period' => 1,
10145
              'type' => 'logic',
10146
              'valid_bit_used' => 0,
10147
            },
10148
            'direction' => 'in',
10149
            'hdlType' => 'std_logic',
10150
            'width' => 1,
10151
          },
10152
          'data_in' => {
10153
            'attributes' => {
10154
              'bin_pt' => 0,
10155
              'is_floating_block' => 1,
10156
              'must_be_hdl_vector' => 1,
10157
              'period' => 1,
10158
              'port_id' => 0,
10159
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/data_in',
10160
              'type' => 'UFix_32_0',
10161
            },
10162
            'direction' => 'in',
10163
            'hdlType' => 'std_logic_vector(31 downto 0)',
10164
            'width' => 32,
10165
          },
10166
          'dout' => {
10167
            'attributes' => {
10168
              'bin_pt' => 0,
10169
              'is_floating_block' => 1,
10170
              'must_be_hdl_vector' => 1,
10171
              'period' => 1,
10172
              'port_id' => 0,
10173
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/dout',
10174
              'type' => 'UFix_32_0',
10175
            },
10176
            'direction' => 'out',
10177
            'hdlType' => 'std_logic_vector(31 downto 0)',
10178
            'width' => 32,
10179
          },
10180
          'en' => {
10181
            'attributes' => {
10182
              'bin_pt' => 0,
10183
              'is_floating_block' => 1,
10184
              'must_be_hdl_vector' => 1,
10185
              'period' => 1,
10186
              'port_id' => 1,
10187
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/en',
10188
              'type' => 'Bool',
10189
            },
10190
            'direction' => 'in',
10191
            'hdlType' => 'std_logic_vector(0 downto 0)',
10192
            'width' => 1,
10193
          },
10194
        },
10195
      },
10196
      'entityName' => 'x_x19',
10197
    },
10198
    'to_register29' => {
10199
      'connections' => {
10200
        'ce' => 'ce_1_sg',
10201
        'clk' => 'clk_1_sg',
10202
        'clr' => [
10203
          'constant',
10204
          '\'0\'',
10205
        ],
10206
        'data_in' => 'reg12_tv_net_x0',
10207
        'dout' => 'to_register29_dout_net',
10208
        'en' => 'constant1_op_net_x8',
10209
      },
10210
      'entity' => {
10211
        'attributes' => {
10212
          'generics' => [
10213
          ],
10214
          'is_floating_block' => 1,
10215
          'mask' => {
10216
            'Block_Handle' => 2139.00048828125,
10217
            'Block_handle' => 2139.00048828125,
10218
            'MDL_Handle' => 2083.00048828125,
10219
            'MDL_handle' => 2083.00048828125,
10220
            'arith_type' => 1,
10221
            'bin_pt' => 14,
10222
            'block_config' => 'sysgen_blockset:toreg_config',
10223
            'block_handle' => 2139.00048828125,
10224
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
10225
            'block_type' => 'toreg',
10226
            'dbl_ovrd' => 0,
10227
            'explicit_data_type' => 0,
10228
            'gui_display_data_type' => 1,
10229
            'init' => 0,
10230
            'init_bit_vector' => '\'b0',
10231
            'mdl_handle' => 2083.00048828125,
10232
            'model_handle' => 2083.00048828125,
10233
            'n_bits' => 16,
10234
            'ownership' => 1,
10235
            'preci_type' => 1,
10236
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10237
            'shared_memory_name' => 'register12tv',
10238
          },
10239
          'needs_vhdl_wrapper' => 0,
10240
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
10241
        },
10242
        'entityName' => 'x_x20',
10243
        'ports' => {
10244
          'ce' => {
10245
            'attributes' => {
10246
              'domain' => '',
10247
              'group' => 1,
10248
              'isCe' => 1,
10249
              'is_floating_block' => 1,
10250
              'period' => 1,
10251
              'type' => 'logic',
10252
            },
10253
            'direction' => 'in',
10254
            'hdlType' => 'std_logic',
10255
            'width' => 1,
10256
          },
10257
          'clk' => {
10258
            'attributes' => {
10259
              'domain' => '',
10260
              'group' => 1,
10261
              'isClk' => 1,
10262
              'is_floating_block' => 1,
10263
              'period' => 1,
10264
              'type' => 'logic',
10265
            },
10266
            'direction' => 'in',
10267
            'hdlType' => 'std_logic',
10268
            'width' => 1,
10269
          },
10270
          'clr' => {
10271
            'attributes' => {
10272
              'domain' => '',
10273
              'group' => 1,
10274
              'isClr' => 1,
10275
              'is_floating_block' => 1,
10276
              'period' => 1,
10277
              'type' => 'logic',
10278
              'valid_bit_used' => 0,
10279
            },
10280
            'direction' => 'in',
10281
            'hdlType' => 'std_logic',
10282
            'width' => 1,
10283
          },
10284
          'data_in' => {
10285
            'attributes' => {
10286
              'bin_pt' => 0,
10287
              'is_floating_block' => 1,
10288
              'must_be_hdl_vector' => 1,
10289
              'period' => 1,
10290
              'port_id' => 0,
10291
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/data_in',
10292
              'type' => 'Bool',
10293
            },
10294
            'direction' => 'in',
10295
            'hdlType' => 'std_logic_vector(0 downto 0)',
10296
            'width' => 1,
10297
          },
10298
          'dout' => {
10299
            'attributes' => {
10300
              'bin_pt' => 0,
10301
              'is_floating_block' => 1,
10302
              'must_be_hdl_vector' => 1,
10303
              'period' => 1,
10304
              'port_id' => 0,
10305
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/dout',
10306
              'type' => 'Bool',
10307
            },
10308
            'direction' => 'out',
10309
            'hdlType' => 'std_logic_vector(0 downto 0)',
10310
            'width' => 1,
10311
          },
10312
          'en' => {
10313
            'attributes' => {
10314
              'bin_pt' => 0,
10315
              'is_floating_block' => 1,
10316
              'must_be_hdl_vector' => 1,
10317
              'period' => 1,
10318
              'port_id' => 1,
10319
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/en',
10320
              'type' => 'Bool',
10321
            },
10322
            'direction' => 'in',
10323
            'hdlType' => 'std_logic_vector(0 downto 0)',
10324
            'width' => 1,
10325
          },
10326
        },
10327
      },
10328
      'entityName' => 'x_x20',
10329
    },
10330
    'to_register3' => {
10331
      'connections' => {
10332
        'ce' => 'ce_1_sg',
10333
        'clk' => 'clk_1_sg',
10334
        'clr' => [
10335
          'constant',
10336
          '\'0\'',
10337
        ],
10338
        'data_in' => 'reg01_tv_net_x0',
10339
        'dout' => 'to_register3_dout_net',
10340
        'en' => 'constant5_op_net_x13',
10341
      },
10342
      'entity' => {
10343
        'attributes' => {
10344
          'generics' => [
10345
          ],
10346
          'is_floating_block' => 1,
10347
          'mask' => {
10348
            'Block_Handle' => 2140.00048828125,
10349
            'Block_handle' => 2140.00048828125,
10350
            'MDL_Handle' => 2083.00048828125,
10351
            'MDL_handle' => 2083.00048828125,
10352
            'arith_type' => 1,
10353
            'bin_pt' => 14,
10354
            'block_config' => 'sysgen_blockset:toreg_config',
10355
            'block_handle' => 2140.00048828125,
10356
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10357
            'block_type' => 'toreg',
10358
            'dbl_ovrd' => 0,
10359
            'explicit_data_type' => 0,
10360
            'gui_display_data_type' => 1,
10361
            'init' => 0,
10362
            'init_bit_vector' => '\'b0',
10363
            'mdl_handle' => 2083.00048828125,
10364
            'model_handle' => 2083.00048828125,
10365
            'n_bits' => 16,
10366
            'ownership' => 1,
10367
            'preci_type' => 1,
10368
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10369
            'shared_memory_name' => 'register01tv',
10370
          },
10371
          'needs_vhdl_wrapper' => 0,
10372
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10373
        },
10374
        'entityName' => 'x_x21',
10375
        'ports' => {
10376
          'ce' => {
10377
            'attributes' => {
10378
              'domain' => '',
10379
              'group' => 1,
10380
              'isCe' => 1,
10381
              'is_floating_block' => 1,
10382
              'period' => 1,
10383
              'type' => 'logic',
10384
            },
10385
            'direction' => 'in',
10386
            'hdlType' => 'std_logic',
10387
            'width' => 1,
10388
          },
10389
          'clk' => {
10390
            'attributes' => {
10391
              'domain' => '',
10392
              'group' => 1,
10393
              'isClk' => 1,
10394
              'is_floating_block' => 1,
10395
              'period' => 1,
10396
              'type' => 'logic',
10397
            },
10398
            'direction' => 'in',
10399
            'hdlType' => 'std_logic',
10400
            'width' => 1,
10401
          },
10402
          'clr' => {
10403
            'attributes' => {
10404
              'domain' => '',
10405
              'group' => 1,
10406
              'isClr' => 1,
10407
              'is_floating_block' => 1,
10408
              'period' => 1,
10409
              'type' => 'logic',
10410
              'valid_bit_used' => 0,
10411
            },
10412
            'direction' => 'in',
10413
            'hdlType' => 'std_logic',
10414
            'width' => 1,
10415
          },
10416
          'data_in' => {
10417
            'attributes' => {
10418
              'bin_pt' => 0,
10419
              'is_floating_block' => 1,
10420
              'must_be_hdl_vector' => 1,
10421
              'period' => 1,
10422
              'port_id' => 0,
10423
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/data_in',
10424
              'type' => 'Bool',
10425
            },
10426
            'direction' => 'in',
10427
            'hdlType' => 'std_logic_vector(0 downto 0)',
10428
            'width' => 1,
10429
          },
10430
          'dout' => {
10431
            'attributes' => {
10432
              'bin_pt' => 0,
10433
              'is_floating_block' => 1,
10434
              'must_be_hdl_vector' => 1,
10435
              'period' => 1,
10436
              'port_id' => 0,
10437
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/dout',
10438
              'type' => 'Bool',
10439
            },
10440
            'direction' => 'out',
10441
            'hdlType' => 'std_logic_vector(0 downto 0)',
10442
            'width' => 1,
10443
          },
10444
          'en' => {
10445
            'attributes' => {
10446
              'bin_pt' => 0,
10447
              'is_floating_block' => 1,
10448
              'must_be_hdl_vector' => 1,
10449
              'period' => 1,
10450
              'port_id' => 1,
10451
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/en',
10452
              'type' => 'Bool',
10453
            },
10454
            'direction' => 'in',
10455
            'hdlType' => 'std_logic_vector(0 downto 0)',
10456
            'width' => 1,
10457
          },
10458
        },
10459
      },
10460
      'entityName' => 'x_x21',
10461
    },
10462
    'to_register30' => {
10463
      'connections' => {
10464
        'ce' => 'ce_1_sg',
10465
        'clk' => 'clk_1_sg',
10466
        'clr' => [
10467
          'constant',
10468
          '\'0\'',
10469
        ],
10470
        'data_in' => 'reg12_td_net_x0',
10471
        'dout' => 'to_register30_dout_net',
10472
        'en' => 'constant1_op_net_x9',
10473
      },
10474
      'entity' => {
10475
        'attributes' => {
10476
          'generics' => [
10477
          ],
10478
          'is_floating_block' => 1,
10479
          'mask' => {
10480
            'Block_Handle' => 2141.00048828125,
10481
            'Block_handle' => 2141.00048828125,
10482
            'MDL_Handle' => 2083.00048828125,
10483
            'MDL_handle' => 2083.00048828125,
10484
            'arith_type' => 1,
10485
            'bin_pt' => 14,
10486
            'block_config' => 'sysgen_blockset:toreg_config',
10487
            'block_handle' => 2141.00048828125,
10488
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10489
            'block_type' => 'toreg',
10490
            'dbl_ovrd' => 0,
10491
            'explicit_data_type' => 0,
10492
            'gui_display_data_type' => 1,
10493
            'init' => 0,
10494
            'init_bit_vector' => '\'b00000000000000000000000000000000',
10495
            'mdl_handle' => 2083.00048828125,
10496
            'model_handle' => 2083.00048828125,
10497
            'n_bits' => 16,
10498
            'ownership' => 1,
10499
            'preci_type' => 1,
10500
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10501
            'shared_memory_name' => 'register12td',
10502
          },
10503
          'needs_vhdl_wrapper' => 0,
10504
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10505
        },
10506
        'entityName' => 'x_x22',
10507
        'ports' => {
10508
          'ce' => {
10509
            'attributes' => {
10510
              'domain' => '',
10511
              'group' => 1,
10512
              'isCe' => 1,
10513
              'is_floating_block' => 1,
10514
              'period' => 1,
10515
              'type' => 'logic',
10516
            },
10517
            'direction' => 'in',
10518
            'hdlType' => 'std_logic',
10519
            'width' => 1,
10520
          },
10521
          'clk' => {
10522
            'attributes' => {
10523
              'domain' => '',
10524
              'group' => 1,
10525
              'isClk' => 1,
10526
              'is_floating_block' => 1,
10527
              'period' => 1,
10528
              'type' => 'logic',
10529
            },
10530
            'direction' => 'in',
10531
            'hdlType' => 'std_logic',
10532
            'width' => 1,
10533
          },
10534
          'clr' => {
10535
            'attributes' => {
10536
              'domain' => '',
10537
              'group' => 1,
10538
              'isClr' => 1,
10539
              'is_floating_block' => 1,
10540
              'period' => 1,
10541
              'type' => 'logic',
10542
              'valid_bit_used' => 0,
10543
            },
10544
            'direction' => 'in',
10545
            'hdlType' => 'std_logic',
10546
            'width' => 1,
10547
          },
10548
          'data_in' => {
10549
            'attributes' => {
10550
              'bin_pt' => 0,
10551
              'is_floating_block' => 1,
10552
              'must_be_hdl_vector' => 1,
10553
              'period' => 1,
10554
              'port_id' => 0,
10555
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/data_in',
10556
              'type' => 'UFix_32_0',
10557
            },
10558
            'direction' => 'in',
10559
            'hdlType' => 'std_logic_vector(31 downto 0)',
10560
            'width' => 32,
10561
          },
10562
          'dout' => {
10563
            'attributes' => {
10564
              'bin_pt' => 0,
10565
              'is_floating_block' => 1,
10566
              'must_be_hdl_vector' => 1,
10567
              'period' => 1,
10568
              'port_id' => 0,
10569
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/dout',
10570
              'type' => 'UFix_32_0',
10571
            },
10572
            'direction' => 'out',
10573
            'hdlType' => 'std_logic_vector(31 downto 0)',
10574
            'width' => 32,
10575
          },
10576
          'en' => {
10577
            'attributes' => {
10578
              'bin_pt' => 0,
10579
              'is_floating_block' => 1,
10580
              'must_be_hdl_vector' => 1,
10581
              'period' => 1,
10582
              'port_id' => 1,
10583
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/en',
10584
              'type' => 'Bool',
10585
            },
10586
            'direction' => 'in',
10587
            'hdlType' => 'std_logic_vector(0 downto 0)',
10588
            'width' => 1,
10589
          },
10590
        },
10591
      },
10592
      'entityName' => 'x_x22',
10593
    },
10594
    'to_register31' => {
10595
      'connections' => {
10596
        'ce' => 'ce_1_sg',
10597
        'clk' => 'clk_1_sg',
10598
        'clr' => [
10599
          'constant',
10600
          '\'0\'',
10601
        ],
10602
        'data_in' => 'reg13_tv_net_x0',
10603
        'dout' => 'to_register31_dout_net',
10604
        'en' => 'constant1_op_net_x10',
10605
      },
10606
      'entity' => {
10607
        'attributes' => {
10608
          'generics' => [
10609
          ],
10610
          'is_floating_block' => 1,
10611
          'mask' => {
10612
            'Block_Handle' => 2142.00048828125,
10613
            'Block_handle' => 2142.00048828125,
10614
            'MDL_Handle' => 2083.00048828125,
10615
            'MDL_handle' => 2083.00048828125,
10616
            'arith_type' => 1,
10617
            'bin_pt' => 14,
10618
            'block_config' => 'sysgen_blockset:toreg_config',
10619
            'block_handle' => 2142.00048828125,
10620
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10621
            'block_type' => 'toreg',
10622
            'dbl_ovrd' => 0,
10623
            'explicit_data_type' => 0,
10624
            'gui_display_data_type' => 1,
10625
            'init' => 0,
10626
            'init_bit_vector' => '\'b0',
10627
            'mdl_handle' => 2083.00048828125,
10628
            'model_handle' => 2083.00048828125,
10629
            'n_bits' => 16,
10630
            'ownership' => 1,
10631
            'preci_type' => 1,
10632
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10633
            'shared_memory_name' => 'register13tv',
10634
          },
10635
          'needs_vhdl_wrapper' => 0,
10636
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10637
        },
10638
        'entityName' => 'x_x23',
10639
        'ports' => {
10640
          'ce' => {
10641
            'attributes' => {
10642
              'domain' => '',
10643
              'group' => 1,
10644
              'isCe' => 1,
10645
              'is_floating_block' => 1,
10646
              'period' => 1,
10647
              'type' => 'logic',
10648
            },
10649
            'direction' => 'in',
10650
            'hdlType' => 'std_logic',
10651
            'width' => 1,
10652
          },
10653
          'clk' => {
10654
            'attributes' => {
10655
              'domain' => '',
10656
              'group' => 1,
10657
              'isClk' => 1,
10658
              'is_floating_block' => 1,
10659
              'period' => 1,
10660
              'type' => 'logic',
10661
            },
10662
            'direction' => 'in',
10663
            'hdlType' => 'std_logic',
10664
            'width' => 1,
10665
          },
10666
          'clr' => {
10667
            'attributes' => {
10668
              'domain' => '',
10669
              'group' => 1,
10670
              'isClr' => 1,
10671
              'is_floating_block' => 1,
10672
              'period' => 1,
10673
              'type' => 'logic',
10674
              'valid_bit_used' => 0,
10675
            },
10676
            'direction' => 'in',
10677
            'hdlType' => 'std_logic',
10678
            'width' => 1,
10679
          },
10680
          'data_in' => {
10681
            'attributes' => {
10682
              'bin_pt' => 0,
10683
              'is_floating_block' => 1,
10684
              'must_be_hdl_vector' => 1,
10685
              'period' => 1,
10686
              'port_id' => 0,
10687
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/data_in',
10688
              'type' => 'Bool',
10689
            },
10690
            'direction' => 'in',
10691
            'hdlType' => 'std_logic_vector(0 downto 0)',
10692
            'width' => 1,
10693
          },
10694
          'dout' => {
10695
            'attributes' => {
10696
              'bin_pt' => 0,
10697
              'is_floating_block' => 1,
10698
              'must_be_hdl_vector' => 1,
10699
              'period' => 1,
10700
              'port_id' => 0,
10701
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/dout',
10702
              'type' => 'Bool',
10703
            },
10704
            'direction' => 'out',
10705
            'hdlType' => 'std_logic_vector(0 downto 0)',
10706
            'width' => 1,
10707
          },
10708
          'en' => {
10709
            'attributes' => {
10710
              'bin_pt' => 0,
10711
              'is_floating_block' => 1,
10712
              'must_be_hdl_vector' => 1,
10713
              'period' => 1,
10714
              'port_id' => 1,
10715
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/en',
10716
              'type' => 'Bool',
10717
            },
10718
            'direction' => 'in',
10719
            'hdlType' => 'std_logic_vector(0 downto 0)',
10720
            'width' => 1,
10721
          },
10722
        },
10723
      },
10724
      'entityName' => 'x_x23',
10725
    },
10726
    'to_register32' => {
10727
      'connections' => {
10728
        'ce' => 'ce_1_sg',
10729
        'clk' => 'clk_1_sg',
10730
        'clr' => [
10731
          'constant',
10732
          '\'0\'',
10733
        ],
10734
        'data_in' => 'reg13_td_net_x0',
10735
        'dout' => 'to_register32_dout_net',
10736
        'en' => 'constant1_op_net_x11',
10737
      },
10738
      'entity' => {
10739
        'attributes' => {
10740
          'generics' => [
10741
          ],
10742
          'is_floating_block' => 1,
10743
          'mask' => {
10744
            'Block_Handle' => 2143.00048828125,
10745
            'Block_handle' => 2143.00048828125,
10746
            'MDL_Handle' => 2083.00048828125,
10747
            'MDL_handle' => 2083.00048828125,
10748
            'arith_type' => 1,
10749
            'bin_pt' => 14,
10750
            'block_config' => 'sysgen_blockset:toreg_config',
10751
            'block_handle' => 2143.00048828125,
10752
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10753
            'block_type' => 'toreg',
10754
            'dbl_ovrd' => 0,
10755
            'explicit_data_type' => 0,
10756
            'gui_display_data_type' => 1,
10757
            'init' => 0,
10758
            'init_bit_vector' => '\'b00000000000000000000000000000000',
10759
            'mdl_handle' => 2083.00048828125,
10760
            'model_handle' => 2083.00048828125,
10761
            'n_bits' => 16,
10762
            'ownership' => 1,
10763
            'preci_type' => 1,
10764
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10765
            'shared_memory_name' => 'register13td',
10766
          },
10767
          'needs_vhdl_wrapper' => 0,
10768
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10769
        },
10770
        'entityName' => 'x_x24',
10771
        'ports' => {
10772
          'ce' => {
10773
            'attributes' => {
10774
              'domain' => '',
10775
              'group' => 1,
10776
              'isCe' => 1,
10777
              'is_floating_block' => 1,
10778
              'period' => 1,
10779
              'type' => 'logic',
10780
            },
10781
            'direction' => 'in',
10782
            'hdlType' => 'std_logic',
10783
            'width' => 1,
10784
          },
10785
          'clk' => {
10786
            'attributes' => {
10787
              'domain' => '',
10788
              'group' => 1,
10789
              'isClk' => 1,
10790
              'is_floating_block' => 1,
10791
              'period' => 1,
10792
              'type' => 'logic',
10793
            },
10794
            'direction' => 'in',
10795
            'hdlType' => 'std_logic',
10796
            'width' => 1,
10797
          },
10798
          'clr' => {
10799
            'attributes' => {
10800
              'domain' => '',
10801
              'group' => 1,
10802
              'isClr' => 1,
10803
              'is_floating_block' => 1,
10804
              'period' => 1,
10805
              'type' => 'logic',
10806
              'valid_bit_used' => 0,
10807
            },
10808
            'direction' => 'in',
10809
            'hdlType' => 'std_logic',
10810
            'width' => 1,
10811
          },
10812
          'data_in' => {
10813
            'attributes' => {
10814
              'bin_pt' => 0,
10815
              'is_floating_block' => 1,
10816
              'must_be_hdl_vector' => 1,
10817
              'period' => 1,
10818
              'port_id' => 0,
10819
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/data_in',
10820
              'type' => 'UFix_32_0',
10821
            },
10822
            'direction' => 'in',
10823
            'hdlType' => 'std_logic_vector(31 downto 0)',
10824
            'width' => 32,
10825
          },
10826
          'dout' => {
10827
            'attributes' => {
10828
              'bin_pt' => 0,
10829
              'is_floating_block' => 1,
10830
              'must_be_hdl_vector' => 1,
10831
              'period' => 1,
10832
              'port_id' => 0,
10833
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/dout',
10834
              'type' => 'UFix_32_0',
10835
            },
10836
            'direction' => 'out',
10837
            'hdlType' => 'std_logic_vector(31 downto 0)',
10838
            'width' => 32,
10839
          },
10840
          'en' => {
10841
            'attributes' => {
10842
              'bin_pt' => 0,
10843
              'is_floating_block' => 1,
10844
              'must_be_hdl_vector' => 1,
10845
              'period' => 1,
10846
              'port_id' => 1,
10847
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/en',
10848
              'type' => 'Bool',
10849
            },
10850
            'direction' => 'in',
10851
            'hdlType' => 'std_logic_vector(0 downto 0)',
10852
            'width' => 1,
10853
          },
10854
        },
10855
      },
10856
      'entityName' => 'x_x24',
10857
    },
10858
    'to_register33' => {
10859
      'connections' => {
10860
        'ce' => 'ce_1_sg',
10861
        'clk' => 'clk_1_sg',
10862
        'clr' => [
10863
          'constant',
10864
          '\'0\'',
10865
        ],
10866
        'data_in' => 'reg14_tv_net_x0',
10867
        'dout' => 'to_register33_dout_net',
10868
        'en' => 'constant1_op_net_x12',
10869
      },
10870
      'entity' => {
10871
        'attributes' => {
10872
          'generics' => [
10873
          ],
10874
          'is_floating_block' => 1,
10875
          'mask' => {
10876
            'Block_Handle' => 2144.00048828125,
10877
            'Block_handle' => 2144.00048828125,
10878
            'MDL_Handle' => 2083.00048828125,
10879
            'MDL_handle' => 2083.00048828125,
10880
            'arith_type' => 1,
10881
            'bin_pt' => 14,
10882
            'block_config' => 'sysgen_blockset:toreg_config',
10883
            'block_handle' => 2144.00048828125,
10884
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10885
            'block_type' => 'toreg',
10886
            'dbl_ovrd' => 0,
10887
            'explicit_data_type' => 0,
10888
            'gui_display_data_type' => 1,
10889
            'init' => 0,
10890
            'init_bit_vector' => '\'b0',
10891
            'mdl_handle' => 2083.00048828125,
10892
            'model_handle' => 2083.00048828125,
10893
            'n_bits' => 16,
10894
            'ownership' => 1,
10895
            'preci_type' => 1,
10896
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10897
            'shared_memory_name' => 'register14tv',
10898
          },
10899
          'needs_vhdl_wrapper' => 0,
10900
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10901
        },
10902
        'entityName' => 'x_x25',
10903
        'ports' => {
10904
          'ce' => {
10905
            'attributes' => {
10906
              'domain' => '',
10907
              'group' => 1,
10908
              'isCe' => 1,
10909
              'is_floating_block' => 1,
10910
              'period' => 1,
10911
              'type' => 'logic',
10912
            },
10913
            'direction' => 'in',
10914
            'hdlType' => 'std_logic',
10915
            'width' => 1,
10916
          },
10917
          'clk' => {
10918
            'attributes' => {
10919
              'domain' => '',
10920
              'group' => 1,
10921
              'isClk' => 1,
10922
              'is_floating_block' => 1,
10923
              'period' => 1,
10924
              'type' => 'logic',
10925
            },
10926
            'direction' => 'in',
10927
            'hdlType' => 'std_logic',
10928
            'width' => 1,
10929
          },
10930
          'clr' => {
10931
            'attributes' => {
10932
              'domain' => '',
10933
              'group' => 1,
10934
              'isClr' => 1,
10935
              'is_floating_block' => 1,
10936
              'period' => 1,
10937
              'type' => 'logic',
10938
              'valid_bit_used' => 0,
10939
            },
10940
            'direction' => 'in',
10941
            'hdlType' => 'std_logic',
10942
            'width' => 1,
10943
          },
10944
          'data_in' => {
10945
            'attributes' => {
10946
              'bin_pt' => 0,
10947
              'is_floating_block' => 1,
10948
              'must_be_hdl_vector' => 1,
10949
              'period' => 1,
10950
              'port_id' => 0,
10951
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/data_in',
10952
              'type' => 'Bool',
10953
            },
10954
            'direction' => 'in',
10955
            'hdlType' => 'std_logic_vector(0 downto 0)',
10956
            'width' => 1,
10957
          },
10958
          'dout' => {
10959
            'attributes' => {
10960
              'bin_pt' => 0,
10961
              'is_floating_block' => 1,
10962
              'must_be_hdl_vector' => 1,
10963
              'period' => 1,
10964
              'port_id' => 0,
10965
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/dout',
10966
              'type' => 'Bool',
10967
            },
10968
            'direction' => 'out',
10969
            'hdlType' => 'std_logic_vector(0 downto 0)',
10970
            'width' => 1,
10971
          },
10972
          'en' => {
10973
            'attributes' => {
10974
              'bin_pt' => 0,
10975
              'is_floating_block' => 1,
10976
              'must_be_hdl_vector' => 1,
10977
              'period' => 1,
10978
              'port_id' => 1,
10979
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/en',
10980
              'type' => 'Bool',
10981
            },
10982
            'direction' => 'in',
10983
            'hdlType' => 'std_logic_vector(0 downto 0)',
10984
            'width' => 1,
10985
          },
10986
        },
10987
      },
10988
      'entityName' => 'x_x25',
10989
    },
10990
    'to_register34' => {
10991
      'connections' => {
10992
        'ce' => 'ce_1_sg',
10993
        'clk' => 'clk_1_sg',
10994
        'clr' => [
10995
          'constant',
10996
          '\'0\'',
10997
        ],
10998
        'data_in' => 'reg14_td_net_x0',
10999
        'dout' => 'to_register34_dout_net',
11000
        'en' => 'constant1_op_net_x13',
11001
      },
11002
      'entity' => {
11003
        'attributes' => {
11004
          'generics' => [
11005
          ],
11006
          'is_floating_block' => 1,
11007
          'mask' => {
11008
            'Block_Handle' => 2145.00048828125,
11009
            'Block_handle' => 2145.00048828125,
11010
            'MDL_Handle' => 2083.00048828125,
11011
            'MDL_handle' => 2083.00048828125,
11012
            'arith_type' => 1,
11013
            'bin_pt' => 14,
11014
            'block_config' => 'sysgen_blockset:toreg_config',
11015
            'block_handle' => 2145.00048828125,
11016
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
11017
            'block_type' => 'toreg',
11018
            'dbl_ovrd' => 0,
11019
            'explicit_data_type' => 0,
11020
            'gui_display_data_type' => 1,
11021
            'init' => 0,
11022
            'init_bit_vector' => '\'b00000000000000000000000000000000',
11023
            'mdl_handle' => 2083.00048828125,
11024
            'model_handle' => 2083.00048828125,
11025
            'n_bits' => 16,
11026
            'ownership' => 1,
11027
            'preci_type' => 1,
11028
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11029
            'shared_memory_name' => 'register14td',
11030
          },
11031
          'needs_vhdl_wrapper' => 0,
11032
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
11033
        },
11034
        'entityName' => 'x_x26',
11035
        'ports' => {
11036
          'ce' => {
11037
            'attributes' => {
11038
              'domain' => '',
11039
              'group' => 1,
11040
              'isCe' => 1,
11041
              'is_floating_block' => 1,
11042
              'period' => 1,
11043
              'type' => 'logic',
11044
            },
11045
            'direction' => 'in',
11046
            'hdlType' => 'std_logic',
11047
            'width' => 1,
11048
          },
11049
          'clk' => {
11050
            'attributes' => {
11051
              'domain' => '',
11052
              'group' => 1,
11053
              'isClk' => 1,
11054
              'is_floating_block' => 1,
11055
              'period' => 1,
11056
              'type' => 'logic',
11057
            },
11058
            'direction' => 'in',
11059
            'hdlType' => 'std_logic',
11060
            'width' => 1,
11061
          },
11062
          'clr' => {
11063
            'attributes' => {
11064
              'domain' => '',
11065
              'group' => 1,
11066
              'isClr' => 1,
11067
              'is_floating_block' => 1,
11068
              'period' => 1,
11069
              'type' => 'logic',
11070
              'valid_bit_used' => 0,
11071
            },
11072
            'direction' => 'in',
11073
            'hdlType' => 'std_logic',
11074
            'width' => 1,
11075
          },
11076
          'data_in' => {
11077
            'attributes' => {
11078
              'bin_pt' => 0,
11079
              'is_floating_block' => 1,
11080
              'must_be_hdl_vector' => 1,
11081
              'period' => 1,
11082
              'port_id' => 0,
11083
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/data_in',
11084
              'type' => 'UFix_32_0',
11085
            },
11086
            'direction' => 'in',
11087
            'hdlType' => 'std_logic_vector(31 downto 0)',
11088
            'width' => 32,
11089
          },
11090
          'dout' => {
11091
            'attributes' => {
11092
              'bin_pt' => 0,
11093
              'is_floating_block' => 1,
11094
              'must_be_hdl_vector' => 1,
11095
              'period' => 1,
11096
              'port_id' => 0,
11097
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/dout',
11098
              'type' => 'UFix_32_0',
11099
            },
11100
            'direction' => 'out',
11101
            'hdlType' => 'std_logic_vector(31 downto 0)',
11102
            'width' => 32,
11103
          },
11104
          'en' => {
11105
            'attributes' => {
11106
              'bin_pt' => 0,
11107
              'is_floating_block' => 1,
11108
              'must_be_hdl_vector' => 1,
11109
              'period' => 1,
11110
              'port_id' => 1,
11111
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/en',
11112
              'type' => 'Bool',
11113
            },
11114
            'direction' => 'in',
11115
            'hdlType' => 'std_logic_vector(0 downto 0)',
11116
            'width' => 1,
11117
          },
11118
        },
11119
      },
11120
      'entityName' => 'x_x26',
11121
    },
11122
    'to_register4' => {
11123
      'connections' => {
11124
        'ce' => 'ce_1_sg',
11125
        'clk' => 'clk_1_sg',
11126
        'clr' => [
11127
          'constant',
11128
          '\'0\'',
11129
        ],
11130
        'data_in' => 'reg02_tv_net_x0',
11131
        'dout' => 'to_register4_dout_net',
11132
        'en' => 'constant5_op_net_x14',
11133
      },
11134
      'entity' => {
11135
        'attributes' => {
11136
          'generics' => [
11137
          ],
11138
          'is_floating_block' => 1,
11139
          'mask' => {
11140
            'Block_Handle' => 2146.00048828125,
11141
            'Block_handle' => 2146.00048828125,
11142
            'MDL_Handle' => 2083.00048828125,
11143
            'MDL_handle' => 2083.00048828125,
11144
            'arith_type' => 1,
11145
            'bin_pt' => 14,
11146
            'block_config' => 'sysgen_blockset:toreg_config',
11147
            'block_handle' => 2146.00048828125,
11148
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
11149
            'block_type' => 'toreg',
11150
            'dbl_ovrd' => 0,
11151
            'explicit_data_type' => 0,
11152
            'gui_display_data_type' => 1,
11153
            'init' => 0,
11154
            'init_bit_vector' => '\'b0',
11155
            'mdl_handle' => 2083.00048828125,
11156
            'model_handle' => 2083.00048828125,
11157
            'n_bits' => 16,
11158
            'ownership' => 1,
11159
            'preci_type' => 1,
11160
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11161
            'shared_memory_name' => 'register02tv',
11162
          },
11163
          'needs_vhdl_wrapper' => 0,
11164
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
11165
        },
11166
        'entityName' => 'x_x27',
11167
        'ports' => {
11168
          'ce' => {
11169
            'attributes' => {
11170
              'domain' => '',
11171
              'group' => 1,
11172
              'isCe' => 1,
11173
              'is_floating_block' => 1,
11174
              'period' => 1,
11175
              'type' => 'logic',
11176
            },
11177
            'direction' => 'in',
11178
            'hdlType' => 'std_logic',
11179
            'width' => 1,
11180
          },
11181
          'clk' => {
11182
            'attributes' => {
11183
              'domain' => '',
11184
              'group' => 1,
11185
              'isClk' => 1,
11186
              'is_floating_block' => 1,
11187
              'period' => 1,
11188
              'type' => 'logic',
11189
            },
11190
            'direction' => 'in',
11191
            'hdlType' => 'std_logic',
11192
            'width' => 1,
11193
          },
11194
          'clr' => {
11195
            'attributes' => {
11196
              'domain' => '',
11197
              'group' => 1,
11198
              'isClr' => 1,
11199
              'is_floating_block' => 1,
11200
              'period' => 1,
11201
              'type' => 'logic',
11202
              'valid_bit_used' => 0,
11203
            },
11204
            'direction' => 'in',
11205
            'hdlType' => 'std_logic',
11206
            'width' => 1,
11207
          },
11208
          'data_in' => {
11209
            'attributes' => {
11210
              'bin_pt' => 0,
11211
              'is_floating_block' => 1,
11212
              'must_be_hdl_vector' => 1,
11213
              'period' => 1,
11214
              'port_id' => 0,
11215
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/data_in',
11216
              'type' => 'Bool',
11217
            },
11218
            'direction' => 'in',
11219
            'hdlType' => 'std_logic_vector(0 downto 0)',
11220
            'width' => 1,
11221
          },
11222
          'dout' => {
11223
            'attributes' => {
11224
              'bin_pt' => 0,
11225
              'is_floating_block' => 1,
11226
              'must_be_hdl_vector' => 1,
11227
              'period' => 1,
11228
              'port_id' => 0,
11229
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/dout',
11230
              'type' => 'Bool',
11231
            },
11232
            'direction' => 'out',
11233
            'hdlType' => 'std_logic_vector(0 downto 0)',
11234
            'width' => 1,
11235
          },
11236
          'en' => {
11237
            'attributes' => {
11238
              'bin_pt' => 0,
11239
              'is_floating_block' => 1,
11240
              'must_be_hdl_vector' => 1,
11241
              'period' => 1,
11242
              'port_id' => 1,
11243
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/en',
11244
              'type' => 'Bool',
11245
            },
11246
            'direction' => 'in',
11247
            'hdlType' => 'std_logic_vector(0 downto 0)',
11248
            'width' => 1,
11249
          },
11250
        },
11251
      },
11252
      'entityName' => 'x_x27',
11253
    },
11254
    'to_register5' => {
11255
      'connections' => {
11256
        'ce' => 'ce_1_sg',
11257
        'clk' => 'clk_1_sg',
11258
        'clr' => [
11259
          'constant',
11260
          '\'0\'',
11261
        ],
11262
        'data_in' => 'reg02_td_net_x0',
11263
        'dout' => 'to_register5_dout_net',
11264
        'en' => 'constant5_op_net_x15',
11265
      },
11266
      'entity' => {
11267
        'attributes' => {
11268
          'generics' => [
11269
          ],
11270
          'is_floating_block' => 1,
11271
          'mask' => {
11272
            'Block_Handle' => 2147.00048828125,
11273
            'Block_handle' => 2147.00048828125,
11274
            'MDL_Handle' => 2083.00048828125,
11275
            'MDL_handle' => 2083.00048828125,
11276
            'arith_type' => 1,
11277
            'bin_pt' => 14,
11278
            'block_config' => 'sysgen_blockset:toreg_config',
11279
            'block_handle' => 2147.00048828125,
11280
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
11281
            'block_type' => 'toreg',
11282
            'dbl_ovrd' => 0,
11283
            'explicit_data_type' => 0,
11284
            'gui_display_data_type' => 1,
11285
            'init' => 0,
11286
            'init_bit_vector' => '\'b00000000000000000000000000000000',
11287
            'mdl_handle' => 2083.00048828125,
11288
            'model_handle' => 2083.00048828125,
11289
            'n_bits' => 16,
11290
            'ownership' => 1,
11291
            'preci_type' => 1,
11292
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11293
            'shared_memory_name' => 'register02td',
11294
          },
11295
          'needs_vhdl_wrapper' => 0,
11296
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
11297
        },
11298
        'entityName' => 'x_x28',
11299
        'ports' => {
11300
          'ce' => {
11301
            'attributes' => {
11302
              'domain' => '',
11303
              'group' => 1,
11304
              'isCe' => 1,
11305
              'is_floating_block' => 1,
11306
              'period' => 1,
11307
              'type' => 'logic',
11308
            },
11309
            'direction' => 'in',
11310
            'hdlType' => 'std_logic',
11311
            'width' => 1,
11312
          },
11313
          'clk' => {
11314
            'attributes' => {
11315
              'domain' => '',
11316
              'group' => 1,
11317
              'isClk' => 1,
11318
              'is_floating_block' => 1,
11319
              'period' => 1,
11320
              'type' => 'logic',
11321
            },
11322
            'direction' => 'in',
11323
            'hdlType' => 'std_logic',
11324
            'width' => 1,
11325
          },
11326
          'clr' => {
11327
            'attributes' => {
11328
              'domain' => '',
11329
              'group' => 1,
11330
              'isClr' => 1,
11331
              'is_floating_block' => 1,
11332
              'period' => 1,
11333
              'type' => 'logic',
11334
              'valid_bit_used' => 0,
11335
            },
11336
            'direction' => 'in',
11337
            'hdlType' => 'std_logic',
11338
            'width' => 1,
11339
          },
11340
          'data_in' => {
11341
            'attributes' => {
11342
              'bin_pt' => 0,
11343
              'is_floating_block' => 1,
11344
              'must_be_hdl_vector' => 1,
11345
              'period' => 1,
11346
              'port_id' => 0,
11347
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/data_in',
11348
              'type' => 'UFix_32_0',
11349
            },
11350
            'direction' => 'in',
11351
            'hdlType' => 'std_logic_vector(31 downto 0)',
11352
            'width' => 32,
11353
          },
11354
          'dout' => {
11355
            'attributes' => {
11356
              'bin_pt' => 0,
11357
              'is_floating_block' => 1,
11358
              'must_be_hdl_vector' => 1,
11359
              'period' => 1,
11360
              'port_id' => 0,
11361
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/dout',
11362
              'type' => 'UFix_32_0',
11363
            },
11364
            'direction' => 'out',
11365
            'hdlType' => 'std_logic_vector(31 downto 0)',
11366
            'width' => 32,
11367
          },
11368
          'en' => {
11369
            'attributes' => {
11370
              'bin_pt' => 0,
11371
              'is_floating_block' => 1,
11372
              'must_be_hdl_vector' => 1,
11373
              'period' => 1,
11374
              'port_id' => 1,
11375
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/en',
11376
              'type' => 'Bool',
11377
            },
11378
            'direction' => 'in',
11379
            'hdlType' => 'std_logic_vector(0 downto 0)',
11380
            'width' => 1,
11381
          },
11382
        },
11383
      },
11384
      'entityName' => 'x_x28',
11385
    },
11386
    'to_register6' => {
11387
      'connections' => {
11388
        'ce' => 'ce_1_sg',
11389
        'clk' => 'clk_1_sg',
11390
        'clr' => [
11391
          'constant',
11392
          '\'0\'',
11393
        ],
11394
        'data_in' => 'debug_in_1i_net_x0',
11395
        'dout' => 'to_register6_dout_net',
11396
        'en' => 'constant5_op_net_x16',
11397
      },
11398
      'entity' => {
11399
        'attributes' => {
11400
          'generics' => [
11401
          ],
11402
          'is_floating_block' => 1,
11403
          'mask' => {
11404
            'Block_Handle' => 2148.00048828125,
11405
            'Block_handle' => 2148.00048828125,
11406
            'MDL_Handle' => 2083.00048828125,
11407
            'MDL_handle' => 2083.00048828125,
11408
            'arith_type' => 1,
11409
            'bin_pt' => 14,
11410
            'block_config' => 'sysgen_blockset:toreg_config',
11411
            'block_handle' => 2148.00048828125,
11412
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11413
            'block_type' => 'toreg',
11414
            'dbl_ovrd' => 0,
11415
            'explicit_data_type' => 0,
11416
            'gui_display_data_type' => 1,
11417
            'init' => 0,
11418
            'init_bit_vector' => '\'b00000000000000000000000000000000',
11419
            'mdl_handle' => 2083.00048828125,
11420
            'model_handle' => 2083.00048828125,
11421
            'n_bits' => 16,
11422
            'ownership' => 1,
11423
            'preci_type' => 1,
11424
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11425
            'shared_memory_name' => 'debug1i',
11426
          },
11427
          'needs_vhdl_wrapper' => 0,
11428
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11429
        },
11430
        'entityName' => 'x_x29',
11431
        'ports' => {
11432
          'ce' => {
11433
            'attributes' => {
11434
              'domain' => '',
11435
              'group' => 1,
11436
              'isCe' => 1,
11437
              'is_floating_block' => 1,
11438
              'period' => 1,
11439
              'type' => 'logic',
11440
            },
11441
            'direction' => 'in',
11442
            'hdlType' => 'std_logic',
11443
            'width' => 1,
11444
          },
11445
          'clk' => {
11446
            'attributes' => {
11447
              'domain' => '',
11448
              'group' => 1,
11449
              'isClk' => 1,
11450
              'is_floating_block' => 1,
11451
              'period' => 1,
11452
              'type' => 'logic',
11453
            },
11454
            'direction' => 'in',
11455
            'hdlType' => 'std_logic',
11456
            'width' => 1,
11457
          },
11458
          'clr' => {
11459
            'attributes' => {
11460
              'domain' => '',
11461
              'group' => 1,
11462
              'isClr' => 1,
11463
              'is_floating_block' => 1,
11464
              'period' => 1,
11465
              'type' => 'logic',
11466
              'valid_bit_used' => 0,
11467
            },
11468
            'direction' => 'in',
11469
            'hdlType' => 'std_logic',
11470
            'width' => 1,
11471
          },
11472
          'data_in' => {
11473
            'attributes' => {
11474
              'bin_pt' => 0,
11475
              'is_floating_block' => 1,
11476
              'must_be_hdl_vector' => 1,
11477
              'period' => 1,
11478
              'port_id' => 0,
11479
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/data_in',
11480
              'type' => 'UFix_32_0',
11481
            },
11482
            'direction' => 'in',
11483
            'hdlType' => 'std_logic_vector(31 downto 0)',
11484
            'width' => 32,
11485
          },
11486
          'dout' => {
11487
            'attributes' => {
11488
              'bin_pt' => 0,
11489
              'is_floating_block' => 1,
11490
              'must_be_hdl_vector' => 1,
11491
              'period' => 1,
11492
              'port_id' => 0,
11493
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/dout',
11494
              'type' => 'UFix_32_0',
11495
            },
11496
            'direction' => 'out',
11497
            'hdlType' => 'std_logic_vector(31 downto 0)',
11498
            'width' => 32,
11499
          },
11500
          'en' => {
11501
            'attributes' => {
11502
              'bin_pt' => 0,
11503
              'is_floating_block' => 1,
11504
              'must_be_hdl_vector' => 1,
11505
              'period' => 1,
11506
              'port_id' => 1,
11507
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/en',
11508
              'type' => 'Bool',
11509
            },
11510
            'direction' => 'in',
11511
            'hdlType' => 'std_logic_vector(0 downto 0)',
11512
            'width' => 1,
11513
          },
11514
        },
11515
      },
11516
      'entityName' => 'x_x29',
11517
    },
11518
    'to_register7' => {
11519
      'connections' => {
11520
        'ce' => 'ce_1_sg',
11521
        'clk' => 'clk_1_sg',
11522
        'clr' => [
11523
          'constant',
11524
          '\'0\'',
11525
        ],
11526
        'data_in' => 'reg01_td_net_x0',
11527
        'dout' => 'to_register7_dout_net',
11528
        'en' => 'constant5_op_net_x17',
11529
      },
11530
      'entity' => {
11531
        'attributes' => {
11532
          'generics' => [
11533
          ],
11534
          'is_floating_block' => 1,
11535
          'mask' => {
11536
            'Block_Handle' => 2149.00048828125,
11537
            'Block_handle' => 2149.00048828125,
11538
            'MDL_Handle' => 2083.00048828125,
11539
            'MDL_handle' => 2083.00048828125,
11540
            'arith_type' => 1,
11541
            'bin_pt' => 14,
11542
            'block_config' => 'sysgen_blockset:toreg_config',
11543
            'block_handle' => 2149.00048828125,
11544
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11545
            'block_type' => 'toreg',
11546
            'dbl_ovrd' => 0,
11547
            'explicit_data_type' => 0,
11548
            'gui_display_data_type' => 1,
11549
            'init' => 0,
11550
            'init_bit_vector' => '\'b00000000000000000000000000000000',
11551
            'mdl_handle' => 2083.00048828125,
11552
            'model_handle' => 2083.00048828125,
11553
            'n_bits' => 16,
11554
            'ownership' => 1,
11555
            'preci_type' => 1,
11556
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11557
            'shared_memory_name' => 'register01td',
11558
          },
11559
          'needs_vhdl_wrapper' => 0,
11560
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11561
        },
11562
        'entityName' => 'x_x30',
11563
        'ports' => {
11564
          'ce' => {
11565
            'attributes' => {
11566
              'domain' => '',
11567
              'group' => 1,
11568
              'isCe' => 1,
11569
              'is_floating_block' => 1,
11570
              'period' => 1,
11571
              'type' => 'logic',
11572
            },
11573
            'direction' => 'in',
11574
            'hdlType' => 'std_logic',
11575
            'width' => 1,
11576
          },
11577
          'clk' => {
11578
            'attributes' => {
11579
              'domain' => '',
11580
              'group' => 1,
11581
              'isClk' => 1,
11582
              'is_floating_block' => 1,
11583
              'period' => 1,
11584
              'type' => 'logic',
11585
            },
11586
            'direction' => 'in',
11587
            'hdlType' => 'std_logic',
11588
            'width' => 1,
11589
          },
11590
          'clr' => {
11591
            'attributes' => {
11592
              'domain' => '',
11593
              'group' => 1,
11594
              'isClr' => 1,
11595
              'is_floating_block' => 1,
11596
              'period' => 1,
11597
              'type' => 'logic',
11598
              'valid_bit_used' => 0,
11599
            },
11600
            'direction' => 'in',
11601
            'hdlType' => 'std_logic',
11602
            'width' => 1,
11603
          },
11604
          'data_in' => {
11605
            'attributes' => {
11606
              'bin_pt' => 0,
11607
              'is_floating_block' => 1,
11608
              'must_be_hdl_vector' => 1,
11609
              'period' => 1,
11610
              'port_id' => 0,
11611
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/data_in',
11612
              'type' => 'UFix_32_0',
11613
            },
11614
            'direction' => 'in',
11615
            'hdlType' => 'std_logic_vector(31 downto 0)',
11616
            'width' => 32,
11617
          },
11618
          'dout' => {
11619
            'attributes' => {
11620
              'bin_pt' => 0,
11621
              'is_floating_block' => 1,
11622
              'must_be_hdl_vector' => 1,
11623
              'period' => 1,
11624
              'port_id' => 0,
11625
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/dout',
11626
              'type' => 'UFix_32_0',
11627
            },
11628
            'direction' => 'out',
11629
            'hdlType' => 'std_logic_vector(31 downto 0)',
11630
            'width' => 32,
11631
          },
11632
          'en' => {
11633
            'attributes' => {
11634
              'bin_pt' => 0,
11635
              'is_floating_block' => 1,
11636
              'must_be_hdl_vector' => 1,
11637
              'period' => 1,
11638
              'port_id' => 1,
11639
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/en',
11640
              'type' => 'Bool',
11641
            },
11642
            'direction' => 'in',
11643
            'hdlType' => 'std_logic_vector(0 downto 0)',
11644
            'width' => 1,
11645
          },
11646
        },
11647
      },
11648
      'entityName' => 'x_x30',
11649
    },
11650
    'to_register8' => {
11651
      'connections' => {
11652
        'ce' => 'ce_1_sg',
11653
        'clk' => 'clk_1_sg',
11654
        'clr' => [
11655
          'constant',
11656
          '\'0\'',
11657
        ],
11658
        'data_in' => 'reg03_tv_net_x0',
11659
        'dout' => 'to_register8_dout_net',
11660
        'en' => 'constant5_op_net_x18',
11661
      },
11662
      'entity' => {
11663
        'attributes' => {
11664
          'generics' => [
11665
          ],
11666
          'is_floating_block' => 1,
11667
          'mask' => {
11668
            'Block_Handle' => 2150.00048828125,
11669
            'Block_handle' => 2150.00048828125,
11670
            'MDL_Handle' => 2083.00048828125,
11671
            'MDL_handle' => 2083.00048828125,
11672
            'arith_type' => 1,
11673
            'bin_pt' => 14,
11674
            'block_config' => 'sysgen_blockset:toreg_config',
11675
            'block_handle' => 2150.00048828125,
11676
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11677
            'block_type' => 'toreg',
11678
            'dbl_ovrd' => 0,
11679
            'explicit_data_type' => 0,
11680
            'gui_display_data_type' => 1,
11681
            'init' => 0,
11682
            'init_bit_vector' => '\'b0',
11683
            'mdl_handle' => 2083.00048828125,
11684
            'model_handle' => 2083.00048828125,
11685
            'n_bits' => 16,
11686
            'ownership' => 1,
11687
            'preci_type' => 1,
11688
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11689
            'shared_memory_name' => 'register03tv',
11690
          },
11691
          'needs_vhdl_wrapper' => 0,
11692
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11693
        },
11694
        'entityName' => 'x_x31',
11695
        'ports' => {
11696
          'ce' => {
11697
            'attributes' => {
11698
              'domain' => '',
11699
              'group' => 1,
11700
              'isCe' => 1,
11701
              'is_floating_block' => 1,
11702
              'period' => 1,
11703
              'type' => 'logic',
11704
            },
11705
            'direction' => 'in',
11706
            'hdlType' => 'std_logic',
11707
            'width' => 1,
11708
          },
11709
          'clk' => {
11710
            'attributes' => {
11711
              'domain' => '',
11712
              'group' => 1,
11713
              'isClk' => 1,
11714
              'is_floating_block' => 1,
11715
              'period' => 1,
11716
              'type' => 'logic',
11717
            },
11718
            'direction' => 'in',
11719
            'hdlType' => 'std_logic',
11720
            'width' => 1,
11721
          },
11722
          'clr' => {
11723
            'attributes' => {
11724
              'domain' => '',
11725
              'group' => 1,
11726
              'isClr' => 1,
11727
              'is_floating_block' => 1,
11728
              'period' => 1,
11729
              'type' => 'logic',
11730
              'valid_bit_used' => 0,
11731
            },
11732
            'direction' => 'in',
11733
            'hdlType' => 'std_logic',
11734
            'width' => 1,
11735
          },
11736
          'data_in' => {
11737
            'attributes' => {
11738
              'bin_pt' => 0,
11739
              'is_floating_block' => 1,
11740
              'must_be_hdl_vector' => 1,
11741
              'period' => 1,
11742
              'port_id' => 0,
11743
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/data_in',
11744
              'type' => 'Bool',
11745
            },
11746
            'direction' => 'in',
11747
            'hdlType' => 'std_logic_vector(0 downto 0)',
11748
            'width' => 1,
11749
          },
11750
          'dout' => {
11751
            'attributes' => {
11752
              'bin_pt' => 0,
11753
              'is_floating_block' => 1,
11754
              'must_be_hdl_vector' => 1,
11755
              'period' => 1,
11756
              'port_id' => 0,
11757
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/dout',
11758
              'type' => 'Bool',
11759
            },
11760
            'direction' => 'out',
11761
            'hdlType' => 'std_logic_vector(0 downto 0)',
11762
            'width' => 1,
11763
          },
11764
          'en' => {
11765
            'attributes' => {
11766
              'bin_pt' => 0,
11767
              'is_floating_block' => 1,
11768
              'must_be_hdl_vector' => 1,
11769
              'period' => 1,
11770
              'port_id' => 1,
11771
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/en',
11772
              'type' => 'Bool',
11773
            },
11774
            'direction' => 'in',
11775
            'hdlType' => 'std_logic_vector(0 downto 0)',
11776
            'width' => 1,
11777
          },
11778
        },
11779
      },
11780
      'entityName' => 'x_x31',
11781
    },
11782
    'to_register9' => {
11783
      'connections' => {
11784
        'ce' => 'ce_1_sg',
11785
        'clk' => 'clk_1_sg',
11786
        'clr' => [
11787
          'constant',
11788
          '\'0\'',
11789
        ],
11790
        'data_in' => 'reg03_td_net_x0',
11791
        'dout' => 'to_register9_dout_net',
11792
        'en' => 'constant5_op_net_x19',
11793
      },
11794
      'entity' => {
11795
        'attributes' => {
11796
          'generics' => [
11797
          ],
11798
          'is_floating_block' => 1,
11799
          'mask' => {
11800
            'Block_Handle' => 2151.00048828125,
11801
            'Block_handle' => 2151.00048828125,
11802
            'MDL_Handle' => 2083.00048828125,
11803
            'MDL_handle' => 2083.00048828125,
11804
            'arith_type' => 1,
11805
            'bin_pt' => 14,
11806
            'block_config' => 'sysgen_blockset:toreg_config',
11807
            'block_handle' => 2151.00048828125,
11808
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11809
            'block_type' => 'toreg',
11810
            'dbl_ovrd' => 0,
11811
            'explicit_data_type' => 0,
11812
            'gui_display_data_type' => 1,
11813
            'init' => 0,
11814
            'init_bit_vector' => '\'b00000000000000000000000000000000',
11815
            'mdl_handle' => 2083.00048828125,
11816
            'model_handle' => 2083.00048828125,
11817
            'n_bits' => 16,
11818
            'ownership' => 1,
11819
            'preci_type' => 1,
11820
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11821
            'shared_memory_name' => 'register03td',
11822
          },
11823
          'needs_vhdl_wrapper' => 0,
11824
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11825
        },
11826
        'entityName' => 'x_x32',
11827
        'ports' => {
11828
          'ce' => {
11829
            'attributes' => {
11830
              'domain' => '',
11831
              'group' => 1,
11832
              'isCe' => 1,
11833
              'is_floating_block' => 1,
11834
              'period' => 1,
11835
              'type' => 'logic',
11836
            },
11837
            'direction' => 'in',
11838
            'hdlType' => 'std_logic',
11839
            'width' => 1,
11840
          },
11841
          'clk' => {
11842
            'attributes' => {
11843
              'domain' => '',
11844
              'group' => 1,
11845
              'isClk' => 1,
11846
              'is_floating_block' => 1,
11847
              'period' => 1,
11848
              'type' => 'logic',
11849
            },
11850
            'direction' => 'in',
11851
            'hdlType' => 'std_logic',
11852
            'width' => 1,
11853
          },
11854
          'clr' => {
11855
            'attributes' => {
11856
              'domain' => '',
11857
              'group' => 1,
11858
              'isClr' => 1,
11859
              'is_floating_block' => 1,
11860
              'period' => 1,
11861
              'type' => 'logic',
11862
              'valid_bit_used' => 0,
11863
            },
11864
            'direction' => 'in',
11865
            'hdlType' => 'std_logic',
11866
            'width' => 1,
11867
          },
11868
          'data_in' => {
11869
            'attributes' => {
11870
              'bin_pt' => 0,
11871
              'is_floating_block' => 1,
11872
              'must_be_hdl_vector' => 1,
11873
              'period' => 1,
11874
              'port_id' => 0,
11875
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/data_in',
11876
              'type' => 'UFix_32_0',
11877
            },
11878
            'direction' => 'in',
11879
            'hdlType' => 'std_logic_vector(31 downto 0)',
11880
            'width' => 32,
11881
          },
11882
          'dout' => {
11883
            'attributes' => {
11884
              'bin_pt' => 0,
11885
              'is_floating_block' => 1,
11886
              'must_be_hdl_vector' => 1,
11887
              'period' => 1,
11888
              'port_id' => 0,
11889
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/dout',
11890
              'type' => 'UFix_32_0',
11891
            },
11892
            'direction' => 'out',
11893
            'hdlType' => 'std_logic_vector(31 downto 0)',
11894
            'width' => 32,
11895
          },
11896
          'en' => {
11897
            'attributes' => {
11898
              'bin_pt' => 0,
11899
              'is_floating_block' => 1,
11900
              'must_be_hdl_vector' => 1,
11901
              'period' => 1,
11902
              'port_id' => 1,
11903
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/en',
11904
              'type' => 'Bool',
11905
            },
11906
            'direction' => 'in',
11907
            'hdlType' => 'std_logic_vector(0 downto 0)',
11908
            'width' => 1,
11909
          },
11910
        },
11911
      },
11912
      'entityName' => 'x_x32',
11913
    },
11914
  },
11915
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.