OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [sysgen/] [synopsis.2] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5,
14
    'base_system_period_simulink' => '5e-009',
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0,
19
    'clock_loc' => '',
20
    'clock_wrapper' => 'Clock Enables',
21
    'clock_wrapper_sgadvanced' => '',
22
    'compilation' => 'NGC Netlist',
23
    'compilation_lut' => {
24
      'keys' => [
25
        'HDL Netlist',
26
        'Bitstream',
27
        'NGC Netlist',
28
      ],
29
      'values' => [
30
        'target1',
31
        'target2',
32
        'target3',
33
      ],
34
    },
35
    'compilation_target' => 'NGC Netlist',
36
    'core_generation' => 1,
37
    'core_generation_sgadvanced' => '',
38
    'core_is_deployed' => 0,
39
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c1fefddc63a4b8747',
40
    'coregen_part_family' => 'virtex6',
41
    'createTestbench' => 0,
42
    'create_interface_document' => 'off',
43
    'dbl_ovrd' => -1,
44
    'dbl_ovrd_sgadvanced' => '',
45
    'dcm_info' => {
46
    },
47
    'dcm_input_clock_period' => 5,
48
    'deprecated_control' => 'off',
49
    'deprecated_control_sgadvanced' => '',
50
    'design' => 'user_logic',
51
    'designFile' => 'user_logic.vhd',
52
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\ML605_ISE13.3\\MySysGen\\PCIe_UserLogic_00.mdl',
53
    'device' => 'xc6vlx240t-1ff1156',
54
    'device_speed' => -1,
55
    'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
56
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
57
    'entityNamingInstrs' => {
58
      'nameMap' => undef,
59
      'namesAlreadyUsed' => undef,
60
    },
61
    'eval_field' => 0,
62
    'fileAttributes' => {
63
      'cntr_11_0_341fbb8cfa0e669e.ngc' => {
64
        'producer' => 'coregen',
65
      },
66
      'icon_1_06_a_87e2f476e984e565.ngc' => {
67
        'producer' => 'coregen',
68
      },
69
      'ila_1_05_a_b6735eb4b876dee5.ngc' => {
70
        'producer' => 'coregen',
71
      },
72
    },
73
    'files' => [
74
      'cntr_11_0_341fbb8cfa0e669e.ngc',
75
      'icon_1_06_a_87e2f476e984e565.ngc',
76
      'ila_1_05_a_b6735eb4b876dee5.ngc',
77
      'xlpersistentdff.ngc',
78
      'synopsis',
79
      'user_logic.vhd',
80
    ],
81
    'fxdptinstalled' => 1,
82
    'generateUsing71FrontEnd' => 1,
83
    'generating_island_subsystem_handle' => 2341.00048828125,
84
    'generating_subsystem_handle' => 2341.00048828125,
85
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
86
    'has_advanced_control' => 0,
87
    'hdlDir' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen/hdl',
88
    'hdlKind' => 'vhdl',
89
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
90
    'impl_file' => 'ISE Defaults*',
91
    'incr_netlist' => 'off',
92
    'incr_netlist_sgadvanced' => '',
93
    'infoedit' => ' System Generator',
94
    'isdeployed' => 0,
95
    'ise_version' => '13.3i',
96
    'master_sysgen_token_handle' => 2342.00048828125,
97
    'matlab' => 'C:/Programmi/MATLAB/R2010b',
98
    'matlab_fixedpoint' => 1,
99
    'mdlHandle' => 2083.00048828125,
100
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
101
    'modelDiagnostics' => [
102
      {
103
        'count' => 351,
104
        'isMask' => 0,
105
        'type' => 'PCIe_UserLogic_00 Total blocks',
106
      },
107
      {
108
        'count' => 4,
109
        'isMask' => 0,
110
        'type' => 'DiscretePulseGenerator',
111
      },
112
      {
113
        'count' => 339,
114
        'isMask' => 0,
115
        'type' => 'S-Function',
116
      },
117
      {
118
        'count' => 4,
119
        'isMask' => 0,
120
        'type' => 'SubSystem',
121
      },
122
      {
123
        'count' => 4,
124
        'isMask' => 0,
125
        'type' => 'Terminator',
126
      },
127
      {
128
        'count' => 1,
129
        'isMask' => 1,
130
        'type' => 'Xilinx ChipScope Block',
131
      },
132
      {
133
        'count' => 23,
134
        'isMask' => 1,
135
        'type' => 'Xilinx Constant Block Block',
136
      },
137
      {
138
        'count' => 1,
139
        'isMask' => 1,
140
        'type' => 'Xilinx Counter Block',
141
      },
142
      {
143
        'count' => 44,
144
        'isMask' => 1,
145
        'type' => 'Xilinx Gateway In Block',
146
      },
147
      {
148
        'count' => 39,
149
        'isMask' => 1,
150
        'type' => 'Xilinx Gateway Out Block',
151
      },
152
      {
153
        'count' => 2,
154
        'isMask' => 1,
155
        'type' => 'Xilinx Inverter Block',
156
      },
157
      {
158
        'count' => 1,
159
        'isMask' => 1,
160
        'type' => 'Xilinx Logical Block Block',
161
      },
162
      {
163
        'count' => 89,
164
        'isMask' => 1,
165
        'type' => 'Xilinx Register Block',
166
      },
167
      {
168
        'count' => 62,
169
        'isMask' => 1,
170
        'type' => 'Xilinx Shared Memory Based From Register Block',
171
      },
172
      {
173
        'count' => 62,
174
        'isMask' => 1,
175
        'type' => 'Xilinx Shared Memory Based To Register Block',
176
      },
177
      {
178
        'count' => 1,
179
        'isMask' => 1,
180
        'type' => 'Xilinx Subsystem Generator Block',
181
      },
182
      {
183
        'count' => 2,
184
        'isMask' => 1,
185
        'type' => 'Xilinx System Generator Block',
186
      },
187
      {
188
        'count' => 14,
189
        'isMask' => 1,
190
        'type' => 'Xilinx Type Converter Block',
191
      },
192
    ],
193
    'model_globals_initialized' => 1,
194
    'model_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
195
    'myxilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
196
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
197
    'ngc_config' => {
198
      'include_cf' => 1,
199
      'include_clockwrapper' => 1,
200
    },
201
    'ngc_files' => [
202
      'xlpersistentdff.ngc',
203
    ],
204
    'num_sim_cycles' => 2000000000,
205
    'package' => 'ff1156',
206
    'part' => 'xc6vlx240t',
207
    'partFamily' => 'virtex6',
208
    'port_data_types_enabled' => 1,
209
    'postgeneration_fcn' => 'xlNGCPostGeneration',
210
    'preserve_hierarchy' => 0,
211
    'proj_type' => 'Project Navigator',
212
    'proj_type_sgadvanced' => '',
213
    'run_coregen' => 'off',
214
    'run_coregen_sgadvanced' => '',
215
    'sample_time_colors_enabled' => 1,
216
    'sampletimecolors' => 1,
217
    'settings_fcn' => 'xlngcsettings',
218
    'sg_blockgui_xml' => '',
219
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
220
    'sg_list_contents' => '',
221
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
222
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
223
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
224
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
225
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
226
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
227
fprintf(\'\',\'COMMENT: end icon graphics\');
228
fprintf(\'\',\'COMMENT: begin icon text\');
229
fprintf(\'\',\'COMMENT: end icon text\');',
230
    'sg_version' => '',
231
    'sggui_pos' => '-1,-1,-1,-1',
232
    'simulation_island_subsystem_handle' => 2341.00048828125,
233
    'simulinkName' => 'parking_lot',
234
    'simulink_accelerator_running' => 0,
235
    'simulink_debugger_running' => 0,
236
    'simulink_period' => '5e-009',
237
    'speed' => -1,
238
    'synth_file' => 'XST Defaults*',
239
    'synthesisTool' => 'XST',
240
    'synthesis_language' => 'vhdl',
241
    'synthesis_tool' => 'XST',
242
    'synthesis_tool_sgadvanced' => '',
243
    'sysclk_period' => 5,
244
    'sysgen' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
245
    'sysgenRoot' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
246
    'sysgenTokenSettings' => {
247
      'Impl_file' => 'ISE Defaults',
248
      'Impl_file_sgadvanced' => '',
249
      'Synth_file' => 'XST Defaults',
250
      'Synth_file_sgadvanced' => '',
251
      'base_system_period_hardware' => 5,
252
      'base_system_period_simulink' => '5e-009',
253
      'block_icon_display' => 'Default',
254
      'block_type' => 'sysgen',
255
      'block_version' => '',
256
      'ce_clr' => 0,
257
      'clock_loc' => '',
258
      'clock_wrapper' => 'Clock Enables',
259
      'clock_wrapper_sgadvanced' => '',
260
      'compilation' => 'NGC Netlist',
261
      'compilation_lut' => {
262
        'keys' => [
263
          'HDL Netlist',
264
          'Bitstream',
265
          'NGC Netlist',
266
        ],
267
        'values' => [
268
          'target1',
269
          'target2',
270
          'target3',
271
        ],
272
      },
273
      'core_generation' => 1,
274
      'core_generation_sgadvanced' => '',
275
      'coregen_part_family' => 'virtex6',
276
      'create_interface_document' => 'off',
277
      'dbl_ovrd' => -1,
278
      'dbl_ovrd_sgadvanced' => '',
279
      'dcm_input_clock_period' => 5,
280
      'deprecated_control' => 'off',
281
      'deprecated_control_sgadvanced' => '',
282
      'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
283
      'eval_field' => 0,
284
      'has_advanced_control' => 0,
285
      'impl_file' => 'ISE Defaults*',
286
      'incr_netlist' => 'off',
287
      'incr_netlist_sgadvanced' => '',
288
      'infoedit' => ' System Generator',
289
      'master_sysgen_token_handle' => 2342.00048828125,
290
      'ngc_config' => {
291
        'include_cf' => 1,
292
        'include_clockwrapper' => 1,
293
      },
294
      'package' => 'ff1156',
295
      'part' => 'xc6vlx240t',
296
      'postgeneration_fcn' => 'xlNGCPostGeneration',
297
      'preserve_hierarchy' => 0,
298
      'proj_type' => 'Project Navigator',
299
      'proj_type_sgadvanced' => '',
300
      'run_coregen' => 'off',
301
      'run_coregen_sgadvanced' => '',
302
      'settings_fcn' => 'xlngcsettings',
303
      'sg_blockgui_xml' => '',
304
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
305
      'sg_list_contents' => '',
306
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
307
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
308
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
309
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
310
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
311
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
312
fprintf(\'\',\'COMMENT: end icon graphics\');
313
fprintf(\'\',\'COMMENT: begin icon text\');
314
fprintf(\'\',\'COMMENT: end icon text\');',
315
      'sggui_pos' => '-1,-1,-1,-1',
316
      'simulation_island_subsystem_handle' => 2341.00048828125,
317
      'simulink_period' => '5e-009',
318
      'speed' => -1,
319
      'synth_file' => 'XST Defaults*',
320
      'synthesis_language' => 'vhdl',
321
      'synthesis_tool' => 'XST',
322
      'synthesis_tool_sgadvanced' => '',
323
      'sysclk_period' => 5,
324
      'testbench' => 0,
325
      'testbench_sgadvanced' => '',
326
      'trim_vbits' => 1,
327
      'trim_vbits_sgadvanced' => '',
328
      'xilinx_device' => 'xc6vlx240t-1ff1156',
329
      'xilinxfamily' => 'virtex6',
330
    },
331
    'sysgen_Root' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
332
    'systemClockPeriod' => 5,
333
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
334
    'testbench' => 0,
335
    'testbench_sgadvanced' => '',
336
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_1_PCIe_UserLogic_00_USER_LOGIC/sysgen',
337
    'trim_vbits' => 1,
338
    'trim_vbits_sgadvanced' => '',
339
    'use_ce_syn_keep' => 1,
340
    'use_strict_names' => 1,
341
    'user_tips_enabled' => 0,
342
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
343
    'using71Netlister' => 1,
344
    'verilog_files' => [
345
      'conv_pkg.v',
346
      'synth_reg.v',
347
      'synth_reg_w_init.v',
348
      'convert_type.v',
349
    ],
350
    'version' => '',
351
    'vhdl_files' => [
352
      'conv_pkg.vhd',
353
      'synth_reg.vhd',
354
      'synth_reg_w_init.vhd',
355
    ],
356
    'vsimtime' => '11000000275.000000 ns',
357
    'xilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
358
    'xilinx_device' => 'xc6vlx240t-1ff1156',
359
    'xilinx_family' => 'virtex6',
360
    'xilinx_package' => 'ff1156',
361
    'xilinx_part' => 'xc6vlx240t',
362
    'xilinxdevice' => 'xc6vlx240t-1ff1156',
363
    'xilinxfamily' => 'virtex6',
364
    'xilinxpart' => 'xc6vlx240t',
365
  },
366
  'entityName' => '',
367
  'nets' => {
368
    'bram_rd_addr_net' => {
369
      'hdlType' => 'std_logic_vector(11 downto 0)',
370
      'width' => 12,
371
    },
372
    'bram_rd_dout_net' => {
373
      'hdlType' => 'std_logic_vector(63 downto 0)',
374
      'width' => 64,
375
    },
376
    'bram_wr_addr_net' => {
377
      'hdlType' => 'std_logic_vector(11 downto 0)',
378
      'width' => 12,
379
    },
380
    'bram_wr_din_net' => {
381
      'hdlType' => 'std_logic_vector(63 downto 0)',
382
      'width' => 64,
383
    },
384
    'bram_wr_en_net' => {
385
      'hdlType' => 'std_logic_vector(7 downto 0)',
386
      'width' => 8,
387
    },
388
    'ce_1_sg_x0' => {
389
      'hdlType' => 'std_logic',
390
      'width' => 1,
391
    },
392
    'clk_1_sg_x0' => {
393
      'hdlType' => 'std_logic',
394
      'width' => 1,
395
    },
396
    'constant6_op_net_x0' => {
397
      'hdlType' => 'std_logic',
398
      'width' => 1,
399
    },
400
    'constant6_op_net_x1' => {
401
      'hdlType' => 'std_logic',
402
      'width' => 1,
403
    },
404
    'constant6_op_net_x10' => {
405
      'hdlType' => 'std_logic',
406
      'width' => 1,
407
    },
408
    'constant6_op_net_x11' => {
409
      'hdlType' => 'std_logic',
410
      'width' => 1,
411
    },
412
    'constant6_op_net_x12' => {
413
      'hdlType' => 'std_logic',
414
      'width' => 1,
415
    },
416
    'constant6_op_net_x13' => {
417
      'hdlType' => 'std_logic',
418
      'width' => 1,
419
    },
420
    'constant6_op_net_x14' => {
421
      'hdlType' => 'std_logic',
422
      'width' => 1,
423
    },
424
    'constant6_op_net_x15' => {
425
      'hdlType' => 'std_logic',
426
      'width' => 1,
427
    },
428
    'constant6_op_net_x16' => {
429
      'hdlType' => 'std_logic',
430
      'width' => 1,
431
    },
432
    'constant6_op_net_x17' => {
433
      'hdlType' => 'std_logic',
434
      'width' => 1,
435
    },
436
    'constant6_op_net_x18' => {
437
      'hdlType' => 'std_logic',
438
      'width' => 1,
439
    },
440
    'constant6_op_net_x19' => {
441
      'hdlType' => 'std_logic',
442
      'width' => 1,
443
    },
444
    'constant6_op_net_x2' => {
445
      'hdlType' => 'std_logic',
446
      'width' => 1,
447
    },
448
    'constant6_op_net_x20' => {
449
      'hdlType' => 'std_logic',
450
      'width' => 1,
451
    },
452
    'constant6_op_net_x21' => {
453
      'hdlType' => 'std_logic',
454
      'width' => 1,
455
    },
456
    'constant6_op_net_x22' => {
457
      'hdlType' => 'std_logic',
458
      'width' => 1,
459
    },
460
    'constant6_op_net_x23' => {
461
      'hdlType' => 'std_logic',
462
      'width' => 1,
463
    },
464
    'constant6_op_net_x24' => {
465
      'hdlType' => 'std_logic',
466
      'width' => 1,
467
    },
468
    'constant6_op_net_x25' => {
469
      'hdlType' => 'std_logic',
470
      'width' => 1,
471
    },
472
    'constant6_op_net_x26' => {
473
      'hdlType' => 'std_logic',
474
      'width' => 1,
475
    },
476
    'constant6_op_net_x27' => {
477
      'hdlType' => 'std_logic',
478
      'width' => 1,
479
    },
480
    'constant6_op_net_x3' => {
481
      'hdlType' => 'std_logic',
482
      'width' => 1,
483
    },
484
    'constant6_op_net_x4' => {
485
      'hdlType' => 'std_logic',
486
      'width' => 1,
487
    },
488
    'constant6_op_net_x5' => {
489
      'hdlType' => 'std_logic',
490
      'width' => 1,
491
    },
492
    'constant6_op_net_x6' => {
493
      'hdlType' => 'std_logic',
494
      'width' => 1,
495
    },
496
    'constant6_op_net_x7' => {
497
      'hdlType' => 'std_logic',
498
      'width' => 1,
499
    },
500
    'constant6_op_net_x8' => {
501
      'hdlType' => 'std_logic',
502
      'width' => 1,
503
    },
504
    'constant6_op_net_x9' => {
505
      'hdlType' => 'std_logic',
506
      'width' => 1,
507
    },
508
    'data_in_net' => {
509
      'hdlType' => 'std_logic_vector(31 downto 0)',
510
      'width' => 32,
511
    },
512
    'data_in_x0_net' => {
513
      'hdlType' => 'std_logic',
514
      'width' => 1,
515
    },
516
    'data_in_x10_net' => {
517
      'hdlType' => 'std_logic_vector(31 downto 0)',
518
      'width' => 32,
519
    },
520
    'data_in_x11_net' => {
521
      'hdlType' => 'std_logic_vector(31 downto 0)',
522
      'width' => 32,
523
    },
524
    'data_in_x12_net' => {
525
      'hdlType' => 'std_logic',
526
      'width' => 1,
527
    },
528
    'data_in_x13_net' => {
529
      'hdlType' => 'std_logic_vector(31 downto 0)',
530
      'width' => 32,
531
    },
532
    'data_in_x14_net' => {
533
      'hdlType' => 'std_logic',
534
      'width' => 1,
535
    },
536
    'data_in_x15_net' => {
537
      'hdlType' => 'std_logic_vector(31 downto 0)',
538
      'width' => 32,
539
    },
540
    'data_in_x16_net' => {
541
      'hdlType' => 'std_logic',
542
      'width' => 1,
543
    },
544
    'data_in_x17_net' => {
545
      'hdlType' => 'std_logic_vector(31 downto 0)',
546
      'width' => 32,
547
    },
548
    'data_in_x18_net' => {
549
      'hdlType' => 'std_logic',
550
      'width' => 1,
551
    },
552
    'data_in_x19_net' => {
553
      'hdlType' => 'std_logic_vector(31 downto 0)',
554
      'width' => 32,
555
    },
556
    'data_in_x1_net' => {
557
      'hdlType' => 'std_logic',
558
      'width' => 1,
559
    },
560
    'data_in_x20_net' => {
561
      'hdlType' => 'std_logic_vector(31 downto 0)',
562
      'width' => 32,
563
    },
564
    'data_in_x21_net' => {
565
      'hdlType' => 'std_logic',
566
      'width' => 1,
567
    },
568
    'data_in_x22_net' => {
569
      'hdlType' => 'std_logic',
570
      'width' => 1,
571
    },
572
    'data_in_x23_net' => {
573
      'hdlType' => 'std_logic_vector(31 downto 0)',
574
      'width' => 32,
575
    },
576
    'data_in_x24_net' => {
577
      'hdlType' => 'std_logic',
578
      'width' => 1,
579
    },
580
    'data_in_x25_net' => {
581
      'hdlType' => 'std_logic_vector(31 downto 0)',
582
      'width' => 32,
583
    },
584
    'data_in_x26_net' => {
585
      'hdlType' => 'std_logic_vector(31 downto 0)',
586
      'width' => 32,
587
    },
588
    'data_in_x2_net' => {
589
      'hdlType' => 'std_logic',
590
      'width' => 1,
591
    },
592
    'data_in_x3_net' => {
593
      'hdlType' => 'std_logic',
594
      'width' => 1,
595
    },
596
    'data_in_x4_net' => {
597
      'hdlType' => 'std_logic_vector(31 downto 0)',
598
      'width' => 32,
599
    },
600
    'data_in_x5_net' => {
601
      'hdlType' => 'std_logic',
602
      'width' => 1,
603
    },
604
    'data_in_x6_net' => {
605
      'hdlType' => 'std_logic_vector(31 downto 0)',
606
      'width' => 32,
607
    },
608
    'data_in_x7_net' => {
609
      'hdlType' => 'std_logic',
610
      'width' => 1,
611
    },
612
    'data_in_x8_net' => {
613
      'hdlType' => 'std_logic_vector(31 downto 0)',
614
      'width' => 32,
615
    },
616
    'data_in_x9_net' => {
617
      'hdlType' => 'std_logic',
618
      'width' => 1,
619
    },
620
    'data_out_x12_net' => {
621
      'hdlType' => 'std_logic_vector(31 downto 0)',
622
      'width' => 32,
623
    },
624
    'data_out_x13_net' => {
625
      'hdlType' => 'std_logic',
626
      'width' => 1,
627
    },
628
    'data_out_x14_net' => {
629
      'hdlType' => 'std_logic_vector(31 downto 0)',
630
      'width' => 32,
631
    },
632
    'data_out_x15_net' => {
633
      'hdlType' => 'std_logic',
634
      'width' => 1,
635
    },
636
    'data_out_x16_net' => {
637
      'hdlType' => 'std_logic_vector(31 downto 0)',
638
      'width' => 32,
639
    },
640
    'data_out_x17_net' => {
641
      'hdlType' => 'std_logic',
642
      'width' => 1,
643
    },
644
    'data_out_x18_net' => {
645
      'hdlType' => 'std_logic_vector(31 downto 0)',
646
      'width' => 32,
647
    },
648
    'data_out_x19_net' => {
649
      'hdlType' => 'std_logic',
650
      'width' => 1,
651
    },
652
    'data_out_x1_net' => {
653
      'hdlType' => 'std_logic',
654
      'width' => 1,
655
    },
656
    'data_out_x20_net' => {
657
      'hdlType' => 'std_logic_vector(31 downto 0)',
658
      'width' => 32,
659
    },
660
    'data_out_x21_net' => {
661
      'hdlType' => 'std_logic',
662
      'width' => 1,
663
    },
664
    'data_out_x22_net' => {
665
      'hdlType' => 'std_logic_vector(31 downto 0)',
666
      'width' => 32,
667
    },
668
    'data_out_x23_net' => {
669
      'hdlType' => 'std_logic_vector(31 downto 0)',
670
      'width' => 32,
671
    },
672
    'data_out_x24_net' => {
673
      'hdlType' => 'std_logic',
674
      'width' => 1,
675
    },
676
    'data_out_x25_net' => {
677
      'hdlType' => 'std_logic_vector(31 downto 0)',
678
      'width' => 32,
679
    },
680
    'data_out_x26_net' => {
681
      'hdlType' => 'std_logic',
682
      'width' => 1,
683
    },
684
    'data_out_x27_net' => {
685
      'hdlType' => 'std_logic',
686
      'width' => 1,
687
    },
688
    'data_out_x28_net' => {
689
      'hdlType' => 'std_logic_vector(31 downto 0)',
690
      'width' => 32,
691
    },
692
    'data_out_x29_net' => {
693
      'hdlType' => 'std_logic',
694
      'width' => 1,
695
    },
696
    'data_out_x2_net' => {
697
      'hdlType' => 'std_logic_vector(31 downto 0)',
698
      'width' => 32,
699
    },
700
    'data_out_x30_net' => {
701
      'hdlType' => 'std_logic_vector(31 downto 0)',
702
      'width' => 32,
703
    },
704
    'data_out_x31_net' => {
705
      'hdlType' => 'std_logic',
706
      'width' => 1,
707
    },
708
    'data_out_x32_net' => {
709
      'hdlType' => 'std_logic_vector(31 downto 0)',
710
      'width' => 32,
711
    },
712
    'data_out_x3_net' => {
713
      'hdlType' => 'std_logic',
714
      'width' => 1,
715
    },
716
    'data_out_x4_net' => {
717
      'hdlType' => 'std_logic_vector(31 downto 0)',
718
      'width' => 32,
719
    },
720
    'data_out_x5_net' => {
721
      'hdlType' => 'std_logic',
722
      'width' => 1,
723
    },
724
    'data_out_x8_net' => {
725
      'hdlType' => 'std_logic_vector(31 downto 0)',
726
      'width' => 32,
727
    },
728
    'data_out_x9_net' => {
729
      'hdlType' => 'std_logic',
730
      'width' => 1,
731
    },
732
    'fifo_rd_count_net' => {
733
      'hdlType' => 'std_logic_vector(14 downto 0)',
734
      'width' => 15,
735
    },
736
    'fifo_rd_dout_net' => {
737
      'hdlType' => 'std_logic_vector(71 downto 0)',
738
      'width' => 72,
739
    },
740
    'fifo_rd_empty_net' => {
741
      'hdlType' => 'std_logic',
742
      'width' => 1,
743
    },
744
    'fifo_rd_en_net' => {
745
      'hdlType' => 'std_logic',
746
      'width' => 1,
747
    },
748
    'fifo_rd_pempty_net' => {
749
      'hdlType' => 'std_logic',
750
      'width' => 1,
751
    },
752
    'fifo_rd_valid_net' => {
753
      'hdlType' => 'std_logic',
754
      'width' => 1,
755
    },
756
    'fifo_wr_count_net' => {
757
      'hdlType' => 'std_logic_vector(14 downto 0)',
758
      'width' => 15,
759
    },
760
    'fifo_wr_din_net' => {
761
      'hdlType' => 'std_logic_vector(71 downto 0)',
762
      'width' => 72,
763
    },
764
    'fifo_wr_en_net' => {
765
      'hdlType' => 'std_logic',
766
      'width' => 1,
767
    },
768
    'fifo_wr_full_net' => {
769
      'hdlType' => 'std_logic',
770
      'width' => 1,
771
    },
772
    'fifo_wr_pfull_net' => {
773
      'hdlType' => 'std_logic',
774
      'width' => 1,
775
    },
776
    'from_register15_data_out_net' => {
777
      'hdlType' => 'std_logic',
778
      'width' => 1,
779
    },
780
    'from_register16_data_out_net' => {
781
      'hdlType' => 'std_logic',
782
      'width' => 1,
783
    },
784
    'from_register19_data_out_net' => {
785
      'hdlType' => 'std_logic_vector(31 downto 0)',
786
      'width' => 32,
787
    },
788
    'from_register1_data_out_net' => {
789
      'hdlType' => 'std_logic_vector(31 downto 0)',
790
      'width' => 32,
791
    },
792
    'from_register2_data_out_net' => {
793
      'hdlType' => 'std_logic_vector(31 downto 0)',
794
      'width' => 32,
795
    },
796
    'from_register_data_out_net' => {
797
      'hdlType' => 'std_logic_vector(31 downto 0)',
798
      'width' => 32,
799
    },
800
    'rst_i_net' => {
801
      'hdlType' => 'std_logic',
802
      'width' => 1,
803
    },
804
    'rst_o_net' => {
805
      'hdlType' => 'std_logic',
806
      'width' => 1,
807
    },
808
    'to_register10_dout_net' => {
809
      'hdlType' => 'std_logic',
810
      'width' => 1,
811
    },
812
    'to_register11_dout_net' => {
813
      'hdlType' => 'std_logic',
814
      'width' => 1,
815
    },
816
    'to_register12_dout_net' => {
817
      'hdlType' => 'std_logic',
818
      'width' => 1,
819
    },
820
    'to_register13_dout_net' => {
821
      'hdlType' => 'std_logic_vector(31 downto 0)',
822
      'width' => 32,
823
    },
824
    'to_register14_dout_net' => {
825
      'hdlType' => 'std_logic',
826
      'width' => 1,
827
    },
828
    'to_register15_dout_net' => {
829
      'hdlType' => 'std_logic_vector(31 downto 0)',
830
      'width' => 32,
831
    },
832
    'to_register16_dout_net' => {
833
      'hdlType' => 'std_logic',
834
      'width' => 1,
835
    },
836
    'to_register17_dout_net' => {
837
      'hdlType' => 'std_logic_vector(31 downto 0)',
838
      'width' => 32,
839
    },
840
    'to_register18_dout_net' => {
841
      'hdlType' => 'std_logic',
842
      'width' => 1,
843
    },
844
    'to_register19_dout_net' => {
845
      'hdlType' => 'std_logic_vector(31 downto 0)',
846
      'width' => 32,
847
    },
848
    'to_register1_dout_net' => {
849
      'hdlType' => 'std_logic',
850
      'width' => 1,
851
    },
852
    'to_register20_dout_net' => {
853
      'hdlType' => 'std_logic',
854
      'width' => 1,
855
    },
856
    'to_register21_dout_net' => {
857
      'hdlType' => 'std_logic_vector(31 downto 0)',
858
      'width' => 32,
859
    },
860
    'to_register22_dout_net' => {
861
      'hdlType' => 'std_logic',
862
      'width' => 1,
863
    },
864
    'to_register23_dout_net' => {
865
      'hdlType' => 'std_logic_vector(31 downto 0)',
866
      'width' => 32,
867
    },
868
    'to_register24_dout_net' => {
869
      'hdlType' => 'std_logic',
870
      'width' => 1,
871
    },
872
    'to_register25_dout_net' => {
873
      'hdlType' => 'std_logic_vector(31 downto 0)',
874
      'width' => 32,
875
    },
876
    'to_register26_dout_net' => {
877
      'hdlType' => 'std_logic',
878
      'width' => 1,
879
    },
880
    'to_register27_dout_net' => {
881
      'hdlType' => 'std_logic_vector(31 downto 0)',
882
      'width' => 32,
883
    },
884
    'to_register2_dout_net' => {
885
      'hdlType' => 'std_logic_vector(31 downto 0)',
886
      'width' => 32,
887
    },
888
    'to_register3_dout_net' => {
889
      'hdlType' => 'std_logic_vector(31 downto 0)',
890
      'width' => 32,
891
    },
892
    'to_register4_dout_net' => {
893
      'hdlType' => 'std_logic',
894
      'width' => 1,
895
    },
896
    'to_register5_dout_net' => {
897
      'hdlType' => 'std_logic',
898
      'width' => 1,
899
    },
900
    'to_register6_dout_net' => {
901
      'hdlType' => 'std_logic_vector(31 downto 0)',
902
      'width' => 32,
903
    },
904
    'to_register7_dout_net' => {
905
      'hdlType' => 'std_logic',
906
      'width' => 1,
907
    },
908
    'to_register8_dout_net' => {
909
      'hdlType' => 'std_logic_vector(31 downto 0)',
910
      'width' => 32,
911
    },
912
    'to_register9_dout_net' => {
913
      'hdlType' => 'std_logic_vector(31 downto 0)',
914
      'width' => 32,
915
    },
916
    'to_register_dout_net' => {
917
      'hdlType' => 'std_logic_vector(31 downto 0)',
918
      'width' => 32,
919
    },
920
    'user_int_1o_net' => {
921
      'hdlType' => 'std_logic',
922
      'width' => 1,
923
    },
924
    'user_int_2o_net' => {
925
      'hdlType' => 'std_logic',
926
      'width' => 1,
927
    },
928
    'user_int_3o_net' => {
929
      'hdlType' => 'std_logic',
930
      'width' => 1,
931
    },
932
  },
933
  'subblocks' => {
934
    'bram_rd_addr' => {
935
      'connections' => {
936
        'bram_rd_addr' => 'bram_rd_addr_net',
937
      },
938
      'entity' => {
939
        'attributes' => {
940
          'isGateway' => 1,
941
          'is_floating_block' => 1,
942
        },
943
        'entityName' => 'bram_rd_addr',
944
        'ports' => {
945
          'bram_rd_addr' => {
946
            'attributes' => {
947
              'bin_pt' => 0,
948
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_addr.dat',
949
              'is_floating_block' => 1,
950
              'is_gateway_port' => 1,
951
              'must_be_hdl_vector' => 1,
952
              'period' => 1,
953
              'port_id' => 0,
954
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_addr/BRAM_rd_addr',
955
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_addr',
956
              'timingConstraint' => 'none',
957
              'type' => 'UFix_12_0',
958
            },
959
            'direction' => 'in',
960
            'hdlType' => 'std_logic_vector(11 downto 0)',
961
            'width' => 12,
962
          },
963
        },
964
      },
965
      'entityName' => 'bram_rd_addr',
966
    },
967
    'bram_rd_dout' => {
968
      'connections' => {
969
        'bram_rd_dout' => 'bram_rd_dout_net',
970
      },
971
      'entity' => {
972
        'attributes' => {
973
          'isGateway' => 1,
974
          'is_floating_block' => 1,
975
        },
976
        'entityName' => 'bram_rd_dout',
977
        'ports' => {
978
          'bram_rd_dout' => {
979
            'attributes' => {
980
              'bin_pt' => 0,
981
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_dout.dat',
982
              'is_floating_block' => 1,
983
              'is_gateway_port' => 1,
984
              'must_be_hdl_vector' => 1,
985
              'period' => 1,
986
              'port_id' => 0,
987
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_dout/BRAM_rd_dout',
988
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_dout',
989
              'timingConstraint' => 'none',
990
              'type' => 'UFix_64_0',
991
            },
992
            'direction' => 'out',
993
            'hdlType' => 'std_logic_vector(63 downto 0)',
994
            'width' => 64,
995
          },
996
        },
997
      },
998
      'entityName' => 'bram_rd_dout',
999
    },
1000
    'bram_wr_addr' => {
1001
      'connections' => {
1002
        'bram_wr_addr' => 'bram_wr_addr_net',
1003
      },
1004
      'entity' => {
1005
        'attributes' => {
1006
          'isGateway' => 1,
1007
          'is_floating_block' => 1,
1008
        },
1009
        'entityName' => 'bram_wr_addr',
1010
        'ports' => {
1011
          'bram_wr_addr' => {
1012
            'attributes' => {
1013
              'bin_pt' => 0,
1014
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_addr.dat',
1015
              'is_floating_block' => 1,
1016
              'is_gateway_port' => 1,
1017
              'must_be_hdl_vector' => 1,
1018
              'period' => 1,
1019
              'port_id' => 0,
1020
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_addr/BRAM_wr_addr',
1021
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_addr',
1022
              'timingConstraint' => 'none',
1023
              'type' => 'UFix_12_0',
1024
            },
1025
            'direction' => 'in',
1026
            'hdlType' => 'std_logic_vector(11 downto 0)',
1027
            'width' => 12,
1028
          },
1029
        },
1030
      },
1031
      'entityName' => 'bram_wr_addr',
1032
    },
1033
    'bram_wr_din' => {
1034
      'connections' => {
1035
        'bram_wr_din' => 'bram_wr_din_net',
1036
      },
1037
      'entity' => {
1038
        'attributes' => {
1039
          'isGateway' => 1,
1040
          'is_floating_block' => 1,
1041
        },
1042
        'entityName' => 'bram_wr_din',
1043
        'ports' => {
1044
          'bram_wr_din' => {
1045
            'attributes' => {
1046
              'bin_pt' => 0,
1047
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_din.dat',
1048
              'is_floating_block' => 1,
1049
              'is_gateway_port' => 1,
1050
              'must_be_hdl_vector' => 1,
1051
              'period' => 1,
1052
              'port_id' => 0,
1053
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_din/BRAM_wr_din',
1054
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_din',
1055
              'timingConstraint' => 'none',
1056
              'type' => 'UFix_64_0',
1057
            },
1058
            'direction' => 'in',
1059
            'hdlType' => 'std_logic_vector(63 downto 0)',
1060
            'width' => 64,
1061
          },
1062
        },
1063
      },
1064
      'entityName' => 'bram_wr_din',
1065
    },
1066
    'bram_wr_en' => {
1067
      'connections' => {
1068
        'bram_wr_en' => 'bram_wr_en_net',
1069
      },
1070
      'entity' => {
1071
        'attributes' => {
1072
          'isGateway' => 1,
1073
          'is_floating_block' => 1,
1074
        },
1075
        'entityName' => 'bram_wr_en',
1076
        'ports' => {
1077
          'bram_wr_en' => {
1078
            'attributes' => {
1079
              'bin_pt' => 0,
1080
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_en.dat',
1081
              'is_floating_block' => 1,
1082
              'is_gateway_port' => 1,
1083
              'must_be_hdl_vector' => 1,
1084
              'period' => 1,
1085
              'port_id' => 0,
1086
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_en/BRAM_wr_en',
1087
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_en',
1088
              'timingConstraint' => 'none',
1089
              'type' => 'UFix_8_0',
1090
            },
1091
            'direction' => 'in',
1092
            'hdlType' => 'std_logic_vector(7 downto 0)',
1093
            'width' => 8,
1094
          },
1095
        },
1096
      },
1097
      'entityName' => 'bram_wr_en',
1098
    },
1099
    'default_clock_driver' => {
1100
      'connections' => {
1101
        'ce_1' => 'ce_1_sg_x0',
1102
        'clk_1' => 'clk_1_sg_x0',
1103
      },
1104
      'entity' => {
1105
        'attributes' => {
1106
          'domain' => 'default',
1107
          'isClkDriver' => 1,
1108
        },
1109
        'entityName' => 'default_clock_driver',
1110
        'ports' => {
1111
          'ce_1' => {
1112
            'attributes' => {
1113
              'domain' => 'default',
1114
              'group' => 1,
1115
              'isCe' => 1,
1116
              'period' => 1,
1117
              'type' => 'logic',
1118
            },
1119
            'direction' => 'out',
1120
            'hdlType' => 'std_logic',
1121
            'width' => 1,
1122
          },
1123
          'clk_1' => {
1124
            'attributes' => {
1125
              'domain' => 'default',
1126
              'group' => 1,
1127
              'isClk' => 1,
1128
              'period' => 1,
1129
              'type' => 'logic',
1130
            },
1131
            'direction' => 'out',
1132
            'hdlType' => 'std_logic',
1133
            'width' => 1,
1134
          },
1135
        },
1136
      },
1137
      'entityName' => 'default_clock_driver',
1138
    },
1139
    'fifo_rd_count' => {
1140
      'connections' => {
1141
        'fifo_rd_count' => 'fifo_rd_count_net',
1142
      },
1143
      'entity' => {
1144
        'attributes' => {
1145
          'isGateway' => 1,
1146
          'is_floating_block' => 1,
1147
        },
1148
        'entityName' => 'fifo_rd_count',
1149
        'ports' => {
1150
          'fifo_rd_count' => {
1151
            'attributes' => {
1152
              'bin_pt' => 0,
1153
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_count.dat',
1154
              'is_floating_block' => 1,
1155
              'is_gateway_port' => 1,
1156
              'must_be_hdl_vector' => 1,
1157
              'period' => 1,
1158
              'port_id' => 0,
1159
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_count/FIFO_rd_count',
1160
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_count',
1161
              'timingConstraint' => 'none',
1162
              'type' => 'UFix_15_0',
1163
            },
1164
            'direction' => 'out',
1165
            'hdlType' => 'std_logic_vector(14 downto 0)',
1166
            'width' => 15,
1167
          },
1168
        },
1169
      },
1170
      'entityName' => 'fifo_rd_count',
1171
    },
1172
    'fifo_rd_dout' => {
1173
      'connections' => {
1174
        'fifo_rd_dout' => 'fifo_rd_dout_net',
1175
      },
1176
      'entity' => {
1177
        'attributes' => {
1178
          'isGateway' => 1,
1179
          'is_floating_block' => 1,
1180
        },
1181
        'entityName' => 'fifo_rd_dout',
1182
        'ports' => {
1183
          'fifo_rd_dout' => {
1184
            'attributes' => {
1185
              'bin_pt' => 0,
1186
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_dout.dat',
1187
              'is_floating_block' => 1,
1188
              'is_gateway_port' => 1,
1189
              'must_be_hdl_vector' => 1,
1190
              'period' => 1,
1191
              'port_id' => 0,
1192
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_dout/FIFO_rd_dout',
1193
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_dout',
1194
              'timingConstraint' => 'none',
1195
              'type' => 'UFix_72_0',
1196
            },
1197
            'direction' => 'out',
1198
            'hdlType' => 'std_logic_vector(71 downto 0)',
1199
            'width' => 72,
1200
          },
1201
        },
1202
      },
1203
      'entityName' => 'fifo_rd_dout',
1204
    },
1205
    'fifo_rd_empty' => {
1206
      'connections' => {
1207
        'fifo_rd_empty' => 'fifo_rd_empty_net',
1208
      },
1209
      'entity' => {
1210
        'attributes' => {
1211
          'isGateway' => 1,
1212
          'is_floating_block' => 1,
1213
        },
1214
        'entityName' => 'fifo_rd_empty',
1215
        'ports' => {
1216
          'fifo_rd_empty' => {
1217
            'attributes' => {
1218
              'bin_pt' => 0,
1219
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_empty.dat',
1220
              'is_floating_block' => 1,
1221
              'is_gateway_port' => 1,
1222
              'must_be_hdl_vector' => 1,
1223
              'period' => 1,
1224
              'port_id' => 0,
1225
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_empty/FIFO_rd_empty',
1226
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_empty',
1227
              'timingConstraint' => 'none',
1228
              'type' => 'Bool',
1229
            },
1230
            'direction' => 'out',
1231
            'hdlType' => 'std_logic',
1232
            'width' => 1,
1233
          },
1234
        },
1235
      },
1236
      'entityName' => 'fifo_rd_empty',
1237
    },
1238
    'fifo_rd_en' => {
1239
      'connections' => {
1240
        'fifo_rd_en' => 'fifo_rd_en_net',
1241
      },
1242
      'entity' => {
1243
        'attributes' => {
1244
          'isGateway' => 1,
1245
          'is_floating_block' => 1,
1246
        },
1247
        'entityName' => 'fifo_rd_en',
1248
        'ports' => {
1249
          'fifo_rd_en' => {
1250
            'attributes' => {
1251
              'bin_pt' => 0,
1252
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_en.dat',
1253
              'is_floating_block' => 1,
1254
              'is_gateway_port' => 1,
1255
              'must_be_hdl_vector' => 1,
1256
              'period' => 1,
1257
              'port_id' => 0,
1258
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_en/FIFO_rd_en',
1259
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_en',
1260
              'timingConstraint' => 'none',
1261
              'type' => 'Bool',
1262
            },
1263
            'direction' => 'in',
1264
            'hdlType' => 'std_logic',
1265
            'width' => 1,
1266
          },
1267
        },
1268
      },
1269
      'entityName' => 'fifo_rd_en',
1270
    },
1271
    'fifo_rd_pempty' => {
1272
      'connections' => {
1273
        'fifo_rd_pempty' => 'fifo_rd_pempty_net',
1274
      },
1275
      'entity' => {
1276
        'attributes' => {
1277
          'isGateway' => 1,
1278
          'is_floating_block' => 1,
1279
        },
1280
        'entityName' => 'fifo_rd_pempty',
1281
        'ports' => {
1282
          'fifo_rd_pempty' => {
1283
            'attributes' => {
1284
              'bin_pt' => 0,
1285
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_pempty.dat',
1286
              'is_floating_block' => 1,
1287
              'is_gateway_port' => 1,
1288
              'must_be_hdl_vector' => 1,
1289
              'period' => 1,
1290
              'port_id' => 0,
1291
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_pempty/FIFO_rd_pempty',
1292
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_pempty',
1293
              'timingConstraint' => 'none',
1294
              'type' => 'Bool',
1295
            },
1296
            'direction' => 'out',
1297
            'hdlType' => 'std_logic',
1298
            'width' => 1,
1299
          },
1300
        },
1301
      },
1302
      'entityName' => 'fifo_rd_pempty',
1303
    },
1304
    'fifo_rd_valid' => {
1305
      'connections' => {
1306
        'fifo_rd_valid' => 'fifo_rd_valid_net',
1307
      },
1308
      'entity' => {
1309
        'attributes' => {
1310
          'isGateway' => 1,
1311
          'is_floating_block' => 1,
1312
        },
1313
        'entityName' => 'fifo_rd_valid',
1314
        'ports' => {
1315
          'fifo_rd_valid' => {
1316
            'attributes' => {
1317
              'bin_pt' => 0,
1318
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_valid.dat',
1319
              'is_floating_block' => 1,
1320
              'is_gateway_port' => 1,
1321
              'must_be_hdl_vector' => 1,
1322
              'period' => 1,
1323
              'port_id' => 0,
1324
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_valid/FIFO_rd_valid',
1325
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_valid',
1326
              'timingConstraint' => 'none',
1327
              'type' => 'Bool',
1328
            },
1329
            'direction' => 'out',
1330
            'hdlType' => 'std_logic',
1331
            'width' => 1,
1332
          },
1333
        },
1334
      },
1335
      'entityName' => 'fifo_rd_valid',
1336
    },
1337
    'fifo_wr_count' => {
1338
      'connections' => {
1339
        'fifo_wr_count' => 'fifo_wr_count_net',
1340
      },
1341
      'entity' => {
1342
        'attributes' => {
1343
          'isGateway' => 1,
1344
          'is_floating_block' => 1,
1345
        },
1346
        'entityName' => 'fifo_wr_count',
1347
        'ports' => {
1348
          'fifo_wr_count' => {
1349
            'attributes' => {
1350
              'bin_pt' => 0,
1351
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_count.dat',
1352
              'is_floating_block' => 1,
1353
              'is_gateway_port' => 1,
1354
              'must_be_hdl_vector' => 1,
1355
              'period' => 1,
1356
              'port_id' => 0,
1357
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_count/FIFO_wr_count',
1358
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_count',
1359
              'timingConstraint' => 'none',
1360
              'type' => 'UFix_15_0',
1361
            },
1362
            'direction' => 'out',
1363
            'hdlType' => 'std_logic_vector(14 downto 0)',
1364
            'width' => 15,
1365
          },
1366
        },
1367
      },
1368
      'entityName' => 'fifo_wr_count',
1369
    },
1370
    'fifo_wr_din' => {
1371
      'connections' => {
1372
        'fifo_wr_din' => 'fifo_wr_din_net',
1373
      },
1374
      'entity' => {
1375
        'attributes' => {
1376
          'isGateway' => 1,
1377
          'is_floating_block' => 1,
1378
        },
1379
        'entityName' => 'fifo_wr_din',
1380
        'ports' => {
1381
          'fifo_wr_din' => {
1382
            'attributes' => {
1383
              'bin_pt' => 0,
1384
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_din.dat',
1385
              'is_floating_block' => 1,
1386
              'is_gateway_port' => 1,
1387
              'must_be_hdl_vector' => 1,
1388
              'period' => 1,
1389
              'port_id' => 0,
1390
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_din/FIFO_wr_din',
1391
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_din',
1392
              'timingConstraint' => 'none',
1393
              'type' => 'UFix_72_0',
1394
            },
1395
            'direction' => 'in',
1396
            'hdlType' => 'std_logic_vector(71 downto 0)',
1397
            'width' => 72,
1398
          },
1399
        },
1400
      },
1401
      'entityName' => 'fifo_wr_din',
1402
    },
1403
    'fifo_wr_en' => {
1404
      'connections' => {
1405
        'fifo_wr_en' => 'fifo_wr_en_net',
1406
      },
1407
      'entity' => {
1408
        'attributes' => {
1409
          'isGateway' => 1,
1410
          'is_floating_block' => 1,
1411
        },
1412
        'entityName' => 'fifo_wr_en',
1413
        'ports' => {
1414
          'fifo_wr_en' => {
1415
            'attributes' => {
1416
              'bin_pt' => 0,
1417
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_en.dat',
1418
              'is_floating_block' => 1,
1419
              'is_gateway_port' => 1,
1420
              'must_be_hdl_vector' => 1,
1421
              'period' => 1,
1422
              'port_id' => 0,
1423
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_en/FIFO_wr_en',
1424
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_en',
1425
              'timingConstraint' => 'none',
1426
              'type' => 'Bool',
1427
            },
1428
            'direction' => 'in',
1429
            'hdlType' => 'std_logic',
1430
            'width' => 1,
1431
          },
1432
        },
1433
      },
1434
      'entityName' => 'fifo_wr_en',
1435
    },
1436
    'fifo_wr_full' => {
1437
      'connections' => {
1438
        'fifo_wr_full' => 'fifo_wr_full_net',
1439
      },
1440
      'entity' => {
1441
        'attributes' => {
1442
          'isGateway' => 1,
1443
          'is_floating_block' => 1,
1444
        },
1445
        'entityName' => 'fifo_wr_full',
1446
        'ports' => {
1447
          'fifo_wr_full' => {
1448
            'attributes' => {
1449
              'bin_pt' => 0,
1450
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_full.dat',
1451
              'is_floating_block' => 1,
1452
              'is_gateway_port' => 1,
1453
              'must_be_hdl_vector' => 1,
1454
              'period' => 1,
1455
              'port_id' => 0,
1456
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_full/FIFO_wr_full',
1457
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_full',
1458
              'timingConstraint' => 'none',
1459
              'type' => 'Bool',
1460
            },
1461
            'direction' => 'out',
1462
            'hdlType' => 'std_logic',
1463
            'width' => 1,
1464
          },
1465
        },
1466
      },
1467
      'entityName' => 'fifo_wr_full',
1468
    },
1469
    'fifo_wr_pfull' => {
1470
      'connections' => {
1471
        'fifo_wr_pfull' => 'fifo_wr_pfull_net',
1472
      },
1473
      'entity' => {
1474
        'attributes' => {
1475
          'isGateway' => 1,
1476
          'is_floating_block' => 1,
1477
        },
1478
        'entityName' => 'fifo_wr_pfull',
1479
        'ports' => {
1480
          'fifo_wr_pfull' => {
1481
            'attributes' => {
1482
              'bin_pt' => 0,
1483
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_pfull.dat',
1484
              'is_floating_block' => 1,
1485
              'is_gateway_port' => 1,
1486
              'must_be_hdl_vector' => 1,
1487
              'period' => 1,
1488
              'port_id' => 0,
1489
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_pfull/FIFO_wr_pfull',
1490
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_pfull',
1491
              'timingConstraint' => 'none',
1492
              'type' => 'Bool',
1493
            },
1494
            'direction' => 'out',
1495
            'hdlType' => 'std_logic',
1496
            'width' => 1,
1497
          },
1498
        },
1499
      },
1500
      'entityName' => 'fifo_wr_pfull',
1501
    },
1502
    'from_register' => {
1503
      'connections' => {
1504
        'data_out' => 'from_register_data_out_net',
1505
      },
1506
      'entity' => {
1507
        'attributes' => {
1508
          'generics' => [
1509
          ],
1510
          'is_floating_block' => 1,
1511
          'mask' => {
1512
            'Block_Handle' => 2395.00048828125,
1513
            'Block_handle' => 2395.00048828125,
1514
            'MDL_Handle' => 2083.00048828125,
1515
            'MDL_handle' => 2083.00048828125,
1516
            'arith_type' => 2,
1517
            'bin_pt' => 0,
1518
            'block_config' => 'sysgen_blockset:fromreg_config',
1519
            'block_handle' => 2395.00048828125,
1520
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register',
1521
            'block_type' => 'fromreg',
1522
            'dbl_ovrd' => 0,
1523
            'gui_display_data_type' => 1,
1524
            'init' => 0,
1525
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1526
            'mdl_handle' => 2083.00048828125,
1527
            'model_handle' => 2083.00048828125,
1528
            'n_bits' => 32,
1529
            'ownership' => 2,
1530
            'period' => '5e-009',
1531
            'preci_type' => 1,
1532
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1533
            'shared_memory_name' => 'debug1i',
1534
          },
1535
          'needs_vhdl_wrapper' => 0,
1536
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register',
1537
        },
1538
        'entityName' => 'x_x89',
1539
        'ports' => {
1540
          'data_out' => {
1541
            'attributes' => {
1542
              'bin_pt' => 0,
1543
              'is_floating_block' => 1,
1544
              'must_be_hdl_vector' => 1,
1545
              'period' => 1,
1546
              'port_id' => 0,
1547
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register/data_out',
1548
              'type' => 'UFix_32_0',
1549
            },
1550
            'direction' => 'out',
1551
            'hdlType' => 'std_logic_vector(31 downto 0)',
1552
            'width' => 32,
1553
          },
1554
        },
1555
      },
1556
      'entityName' => 'x_x89',
1557
    },
1558
    'from_register1' => {
1559
      'connections' => {
1560
        'data_out' => 'from_register1_data_out_net',
1561
      },
1562
      'entity' => {
1563
        'attributes' => {
1564
          'generics' => [
1565
          ],
1566
          'is_floating_block' => 1,
1567
          'mask' => {
1568
            'Block_Handle' => 2396.00048828125,
1569
            'Block_handle' => 2396.00048828125,
1570
            'MDL_Handle' => 2083.00048828125,
1571
            'MDL_handle' => 2083.00048828125,
1572
            'arith_type' => 2,
1573
            'bin_pt' => 0,
1574
            'block_config' => 'sysgen_blockset:fromreg_config',
1575
            'block_handle' => 2396.00048828125,
1576
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1',
1577
            'block_type' => 'fromreg',
1578
            'dbl_ovrd' => 0,
1579
            'gui_display_data_type' => 1,
1580
            'init' => 0,
1581
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1582
            'mdl_handle' => 2083.00048828125,
1583
            'model_handle' => 2083.00048828125,
1584
            'n_bits' => 32,
1585
            'ownership' => 2,
1586
            'period' => '5e-009',
1587
            'preci_type' => 1,
1588
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1589
            'shared_memory_name' => 'debug2i',
1590
          },
1591
          'needs_vhdl_wrapper' => 0,
1592
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1',
1593
        },
1594
        'entityName' => 'x_x90',
1595
        'ports' => {
1596
          'data_out' => {
1597
            'attributes' => {
1598
              'bin_pt' => 0,
1599
              'is_floating_block' => 1,
1600
              'must_be_hdl_vector' => 1,
1601
              'period' => 1,
1602
              'port_id' => 0,
1603
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1/data_out',
1604
              'type' => 'UFix_32_0',
1605
            },
1606
            'direction' => 'out',
1607
            'hdlType' => 'std_logic_vector(31 downto 0)',
1608
            'width' => 32,
1609
          },
1610
        },
1611
      },
1612
      'entityName' => 'x_x90',
1613
    },
1614
    'from_register10' => {
1615
      'connections' => {
1616
        'data_out' => 'data_out_x1_net',
1617
      },
1618
      'entity' => {
1619
        'attributes' => {
1620
          'generics' => [
1621
          ],
1622
          'is_floating_block' => 1,
1623
          'mask' => {
1624
            'Block_Handle' => 2397.00048828125,
1625
            'Block_handle' => 2397.00048828125,
1626
            'MDL_Handle' => 2083.00048828125,
1627
            'MDL_handle' => 2083.00048828125,
1628
            'arith_type' => 2,
1629
            'bin_pt' => 0,
1630
            'block_config' => 'sysgen_blockset:fromreg_config',
1631
            'block_handle' => 2397.00048828125,
1632
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10',
1633
            'block_type' => 'fromreg',
1634
            'dbl_ovrd' => 0,
1635
            'gui_display_data_type' => 1,
1636
            'init' => 0,
1637
            'init_bit_vector' => '\'b0',
1638
            'mdl_handle' => 2083.00048828125,
1639
            'model_handle' => 2083.00048828125,
1640
            'n_bits' => 1,
1641
            'ownership' => 2,
1642
            'period' => '5e-009',
1643
            'preci_type' => 1,
1644
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1645
            'shared_memory_name' => 'register04tv',
1646
          },
1647
          'needs_vhdl_wrapper' => 0,
1648
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10',
1649
        },
1650
        'entityName' => 'x_x91',
1651
        'ports' => {
1652
          'data_out' => {
1653
            'attributes' => {
1654
              'bin_pt' => 0,
1655
              'is_floating_block' => 1,
1656
              'must_be_hdl_vector' => 1,
1657
              'period' => 1,
1658
              'port_id' => 0,
1659
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10/data_out',
1660
              'type' => 'UFix_1_0',
1661
            },
1662
            'direction' => 'out',
1663
            'hdlType' => 'std_logic_vector(0 downto 0)',
1664
            'width' => 1,
1665
          },
1666
        },
1667
      },
1668
      'entityName' => 'x_x91',
1669
    },
1670
    'from_register11' => {
1671
      'connections' => {
1672
        'data_out' => 'data_out_x2_net',
1673
      },
1674
      'entity' => {
1675
        'attributes' => {
1676
          'generics' => [
1677
          ],
1678
          'is_floating_block' => 1,
1679
          'mask' => {
1680
            'Block_Handle' => 2398.00048828125,
1681
            'Block_handle' => 2398.00048828125,
1682
            'MDL_Handle' => 2083.00048828125,
1683
            'MDL_handle' => 2083.00048828125,
1684
            'arith_type' => 2,
1685
            'bin_pt' => 0,
1686
            'block_config' => 'sysgen_blockset:fromreg_config',
1687
            'block_handle' => 2398.00048828125,
1688
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11',
1689
            'block_type' => 'fromreg',
1690
            'dbl_ovrd' => 0,
1691
            'gui_display_data_type' => 1,
1692
            'init' => 0,
1693
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1694
            'mdl_handle' => 2083.00048828125,
1695
            'model_handle' => 2083.00048828125,
1696
            'n_bits' => 32,
1697
            'ownership' => 2,
1698
            'period' => '5e-009',
1699
            'preci_type' => 1,
1700
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1701
            'shared_memory_name' => 'register05td',
1702
          },
1703
          'needs_vhdl_wrapper' => 0,
1704
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11',
1705
        },
1706
        'entityName' => 'x_x92',
1707
        'ports' => {
1708
          'data_out' => {
1709
            'attributes' => {
1710
              'bin_pt' => 0,
1711
              'is_floating_block' => 1,
1712
              'must_be_hdl_vector' => 1,
1713
              'period' => 1,
1714
              'port_id' => 0,
1715
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11/data_out',
1716
              'type' => 'UFix_32_0',
1717
            },
1718
            'direction' => 'out',
1719
            'hdlType' => 'std_logic_vector(31 downto 0)',
1720
            'width' => 32,
1721
          },
1722
        },
1723
      },
1724
      'entityName' => 'x_x92',
1725
    },
1726
    'from_register12' => {
1727
      'connections' => {
1728
        'data_out' => 'data_out_x3_net',
1729
      },
1730
      'entity' => {
1731
        'attributes' => {
1732
          'generics' => [
1733
          ],
1734
          'is_floating_block' => 1,
1735
          'mask' => {
1736
            'Block_Handle' => 2399.00048828125,
1737
            'Block_handle' => 2399.00048828125,
1738
            'MDL_Handle' => 2083.00048828125,
1739
            'MDL_handle' => 2083.00048828125,
1740
            'arith_type' => 2,
1741
            'bin_pt' => 0,
1742
            'block_config' => 'sysgen_blockset:fromreg_config',
1743
            'block_handle' => 2399.00048828125,
1744
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12',
1745
            'block_type' => 'fromreg',
1746
            'dbl_ovrd' => 0,
1747
            'gui_display_data_type' => 1,
1748
            'init' => 0,
1749
            'init_bit_vector' => '\'b0',
1750
            'mdl_handle' => 2083.00048828125,
1751
            'model_handle' => 2083.00048828125,
1752
            'n_bits' => 1,
1753
            'ownership' => 2,
1754
            'period' => '5e-009',
1755
            'preci_type' => 1,
1756
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1757
            'shared_memory_name' => 'register05tv',
1758
          },
1759
          'needs_vhdl_wrapper' => 0,
1760
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12',
1761
        },
1762
        'entityName' => 'x_x93',
1763
        'ports' => {
1764
          'data_out' => {
1765
            'attributes' => {
1766
              'bin_pt' => 0,
1767
              'is_floating_block' => 1,
1768
              'must_be_hdl_vector' => 1,
1769
              'period' => 1,
1770
              'port_id' => 0,
1771
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12/data_out',
1772
              'type' => 'UFix_1_0',
1773
            },
1774
            'direction' => 'out',
1775
            'hdlType' => 'std_logic_vector(0 downto 0)',
1776
            'width' => 1,
1777
          },
1778
        },
1779
      },
1780
      'entityName' => 'x_x93',
1781
    },
1782
    'from_register13' => {
1783
      'connections' => {
1784
        'data_out' => 'data_out_x4_net',
1785
      },
1786
      'entity' => {
1787
        'attributes' => {
1788
          'generics' => [
1789
          ],
1790
          'is_floating_block' => 1,
1791
          'mask' => {
1792
            'Block_Handle' => 2400.00048828125,
1793
            'Block_handle' => 2400.00048828125,
1794
            'MDL_Handle' => 2083.00048828125,
1795
            'MDL_handle' => 2083.00048828125,
1796
            'arith_type' => 2,
1797
            'bin_pt' => 0,
1798
            'block_config' => 'sysgen_blockset:fromreg_config',
1799
            'block_handle' => 2400.00048828125,
1800
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13',
1801
            'block_type' => 'fromreg',
1802
            'dbl_ovrd' => 0,
1803
            'gui_display_data_type' => 1,
1804
            'init' => 0,
1805
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1806
            'mdl_handle' => 2083.00048828125,
1807
            'model_handle' => 2083.00048828125,
1808
            'n_bits' => 32,
1809
            'ownership' => 2,
1810
            'period' => '5e-009',
1811
            'preci_type' => 1,
1812
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1813
            'shared_memory_name' => 'register06td',
1814
          },
1815
          'needs_vhdl_wrapper' => 0,
1816
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13',
1817
        },
1818
        'entityName' => 'x_x94',
1819
        'ports' => {
1820
          'data_out' => {
1821
            'attributes' => {
1822
              'bin_pt' => 0,
1823
              'is_floating_block' => 1,
1824
              'must_be_hdl_vector' => 1,
1825
              'period' => 1,
1826
              'port_id' => 0,
1827
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13/data_out',
1828
              'type' => 'UFix_32_0',
1829
            },
1830
            'direction' => 'out',
1831
            'hdlType' => 'std_logic_vector(31 downto 0)',
1832
            'width' => 32,
1833
          },
1834
        },
1835
      },
1836
      'entityName' => 'x_x94',
1837
    },
1838
    'from_register14' => {
1839
      'connections' => {
1840
        'data_out' => 'data_out_x5_net',
1841
      },
1842
      'entity' => {
1843
        'attributes' => {
1844
          'generics' => [
1845
          ],
1846
          'is_floating_block' => 1,
1847
          'mask' => {
1848
            'Block_Handle' => 2401.00048828125,
1849
            'Block_handle' => 2401.00048828125,
1850
            'MDL_Handle' => 2083.00048828125,
1851
            'MDL_handle' => 2083.00048828125,
1852
            'arith_type' => 2,
1853
            'bin_pt' => 0,
1854
            'block_config' => 'sysgen_blockset:fromreg_config',
1855
            'block_handle' => 2401.00048828125,
1856
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14',
1857
            'block_type' => 'fromreg',
1858
            'dbl_ovrd' => 0,
1859
            'gui_display_data_type' => 1,
1860
            'init' => 0,
1861
            'init_bit_vector' => '\'b0',
1862
            'mdl_handle' => 2083.00048828125,
1863
            'model_handle' => 2083.00048828125,
1864
            'n_bits' => 1,
1865
            'ownership' => 2,
1866
            'period' => '5e-009',
1867
            'preci_type' => 1,
1868
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1869
            'shared_memory_name' => 'register06tv',
1870
          },
1871
          'needs_vhdl_wrapper' => 0,
1872
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14',
1873
        },
1874
        'entityName' => 'x_x95',
1875
        'ports' => {
1876
          'data_out' => {
1877
            'attributes' => {
1878
              'bin_pt' => 0,
1879
              'is_floating_block' => 1,
1880
              'must_be_hdl_vector' => 1,
1881
              'period' => 1,
1882
              'port_id' => 0,
1883
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14/data_out',
1884
              'type' => 'UFix_1_0',
1885
            },
1886
            'direction' => 'out',
1887
            'hdlType' => 'std_logic_vector(0 downto 0)',
1888
            'width' => 1,
1889
          },
1890
        },
1891
      },
1892
      'entityName' => 'x_x95',
1893
    },
1894
    'from_register15' => {
1895
      'connections' => {
1896
        'data_out' => 'from_register15_data_out_net',
1897
      },
1898
      'entity' => {
1899
        'attributes' => {
1900
          'generics' => [
1901
          ],
1902
          'is_floating_block' => 1,
1903
          'mask' => {
1904
            'Block_Handle' => 2402.00048828125,
1905
            'Block_handle' => 2402.00048828125,
1906
            'MDL_Handle' => 2083.00048828125,
1907
            'MDL_handle' => 2083.00048828125,
1908
            'arith_type' => 2,
1909
            'bin_pt' => 0,
1910
            'block_config' => 'sysgen_blockset:fromreg_config',
1911
            'block_handle' => 2402.00048828125,
1912
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15',
1913
            'block_type' => 'fromreg',
1914
            'dbl_ovrd' => 0,
1915
            'gui_display_data_type' => 1,
1916
            'init' => 0,
1917
            'init_bit_vector' => '\'b0',
1918
            'mdl_handle' => 2083.00048828125,
1919
            'model_handle' => 2083.00048828125,
1920
            'n_bits' => 1,
1921
            'ownership' => 2,
1922
            'period' => '5e-009',
1923
            'preci_type' => 1,
1924
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1925
            'shared_memory_name' => 'DMA_Host2Board_Done',
1926
          },
1927
          'needs_vhdl_wrapper' => 0,
1928
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15',
1929
        },
1930
        'entityName' => 'x_x96',
1931
        'ports' => {
1932
          'data_out' => {
1933
            'attributes' => {
1934
              'bin_pt' => 0,
1935
              'is_floating_block' => 1,
1936
              'must_be_hdl_vector' => 1,
1937
              'period' => 1,
1938
              'port_id' => 0,
1939
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15/data_out',
1940
              'type' => 'UFix_1_0',
1941
            },
1942
            'direction' => 'out',
1943
            'hdlType' => 'std_logic_vector(0 downto 0)',
1944
            'width' => 1,
1945
          },
1946
        },
1947
      },
1948
      'entityName' => 'x_x96',
1949
    },
1950
    'from_register16' => {
1951
      'connections' => {
1952
        'data_out' => 'from_register16_data_out_net',
1953
      },
1954
      'entity' => {
1955
        'attributes' => {
1956
          'generics' => [
1957
          ],
1958
          'is_floating_block' => 1,
1959
          'mask' => {
1960
            'Block_Handle' => 2403.00048828125,
1961
            'Block_handle' => 2403.00048828125,
1962
            'MDL_Handle' => 2083.00048828125,
1963
            'MDL_handle' => 2083.00048828125,
1964
            'arith_type' => 2,
1965
            'bin_pt' => 0,
1966
            'block_config' => 'sysgen_blockset:fromreg_config',
1967
            'block_handle' => 2403.00048828125,
1968
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16',
1969
            'block_type' => 'fromreg',
1970
            'dbl_ovrd' => 0,
1971
            'gui_display_data_type' => 1,
1972
            'init' => 0,
1973
            'init_bit_vector' => '\'b0',
1974
            'mdl_handle' => 2083.00048828125,
1975
            'model_handle' => 2083.00048828125,
1976
            'n_bits' => 1,
1977
            'ownership' => 2,
1978
            'period' => '5e-009',
1979
            'preci_type' => 1,
1980
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1981
            'shared_memory_name' => 'DMA_Host2Board_Busy',
1982
          },
1983
          'needs_vhdl_wrapper' => 0,
1984
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16',
1985
        },
1986
        'entityName' => 'x_x97',
1987
        'ports' => {
1988
          'data_out' => {
1989
            'attributes' => {
1990
              'bin_pt' => 0,
1991
              'is_floating_block' => 1,
1992
              'must_be_hdl_vector' => 1,
1993
              'period' => 1,
1994
              'port_id' => 0,
1995
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16/data_out',
1996
              'type' => 'UFix_1_0',
1997
            },
1998
            'direction' => 'out',
1999
            'hdlType' => 'std_logic_vector(0 downto 0)',
2000
            'width' => 1,
2001
          },
2002
        },
2003
      },
2004
      'entityName' => 'x_x97',
2005
    },
2006
    'from_register17' => {
2007
      'connections' => {
2008
        'data_out' => 'data_out_x8_net',
2009
      },
2010
      'entity' => {
2011
        'attributes' => {
2012
          'generics' => [
2013
          ],
2014
          'is_floating_block' => 1,
2015
          'mask' => {
2016
            'Block_Handle' => 2404.00048828125,
2017
            'Block_handle' => 2404.00048828125,
2018
            'MDL_Handle' => 2083.00048828125,
2019
            'MDL_handle' => 2083.00048828125,
2020
            'arith_type' => 2,
2021
            'bin_pt' => 0,
2022
            'block_config' => 'sysgen_blockset:fromreg_config',
2023
            'block_handle' => 2404.00048828125,
2024
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17',
2025
            'block_type' => 'fromreg',
2026
            'dbl_ovrd' => 0,
2027
            'gui_display_data_type' => 1,
2028
            'init' => 0,
2029
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2030
            'mdl_handle' => 2083.00048828125,
2031
            'model_handle' => 2083.00048828125,
2032
            'n_bits' => 32,
2033
            'ownership' => 2,
2034
            'period' => '5e-009',
2035
            'preci_type' => 1,
2036
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2037
            'shared_memory_name' => 'register07td',
2038
          },
2039
          'needs_vhdl_wrapper' => 0,
2040
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17',
2041
        },
2042
        'entityName' => 'x_x98',
2043
        'ports' => {
2044
          'data_out' => {
2045
            'attributes' => {
2046
              'bin_pt' => 0,
2047
              'is_floating_block' => 1,
2048
              'must_be_hdl_vector' => 1,
2049
              'period' => 1,
2050
              'port_id' => 0,
2051
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17/data_out',
2052
              'type' => 'UFix_32_0',
2053
            },
2054
            'direction' => 'out',
2055
            'hdlType' => 'std_logic_vector(31 downto 0)',
2056
            'width' => 32,
2057
          },
2058
        },
2059
      },
2060
      'entityName' => 'x_x98',
2061
    },
2062
    'from_register18' => {
2063
      'connections' => {
2064
        'data_out' => 'data_out_x9_net',
2065
      },
2066
      'entity' => {
2067
        'attributes' => {
2068
          'generics' => [
2069
          ],
2070
          'is_floating_block' => 1,
2071
          'mask' => {
2072
            'Block_Handle' => 2405.00048828125,
2073
            'Block_handle' => 2405.00048828125,
2074
            'MDL_Handle' => 2083.00048828125,
2075
            'MDL_handle' => 2083.00048828125,
2076
            'arith_type' => 2,
2077
            'bin_pt' => 0,
2078
            'block_config' => 'sysgen_blockset:fromreg_config',
2079
            'block_handle' => 2405.00048828125,
2080
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18',
2081
            'block_type' => 'fromreg',
2082
            'dbl_ovrd' => 0,
2083
            'gui_display_data_type' => 1,
2084
            'init' => 0,
2085
            'init_bit_vector' => '\'b0',
2086
            'mdl_handle' => 2083.00048828125,
2087
            'model_handle' => 2083.00048828125,
2088
            'n_bits' => 1,
2089
            'ownership' => 2,
2090
            'period' => '5e-009',
2091
            'preci_type' => 1,
2092
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2093
            'shared_memory_name' => 'register07tv',
2094
          },
2095
          'needs_vhdl_wrapper' => 0,
2096
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18',
2097
        },
2098
        'entityName' => 'x_x99',
2099
        'ports' => {
2100
          'data_out' => {
2101
            'attributes' => {
2102
              'bin_pt' => 0,
2103
              'is_floating_block' => 1,
2104
              'must_be_hdl_vector' => 1,
2105
              'period' => 1,
2106
              'port_id' => 0,
2107
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18/data_out',
2108
              'type' => 'UFix_1_0',
2109
            },
2110
            'direction' => 'out',
2111
            'hdlType' => 'std_logic_vector(0 downto 0)',
2112
            'width' => 1,
2113
          },
2114
        },
2115
      },
2116
      'entityName' => 'x_x99',
2117
    },
2118
    'from_register19' => {
2119
      'connections' => {
2120
        'data_out' => 'from_register19_data_out_net',
2121
      },
2122
      'entity' => {
2123
        'attributes' => {
2124
          'generics' => [
2125
          ],
2126
          'is_floating_block' => 1,
2127
          'mask' => {
2128
            'Block_Handle' => 2406.00048828125,
2129
            'Block_handle' => 2406.00048828125,
2130
            'MDL_Handle' => 2083.00048828125,
2131
            'MDL_handle' => 2083.00048828125,
2132
            'arith_type' => 2,
2133
            'bin_pt' => 0,
2134
            'block_config' => 'sysgen_blockset:fromreg_config',
2135
            'block_handle' => 2406.00048828125,
2136
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19',
2137
            'block_type' => 'fromreg',
2138
            'dbl_ovrd' => 0,
2139
            'gui_display_data_type' => 1,
2140
            'init' => 0,
2141
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2142
            'mdl_handle' => 2083.00048828125,
2143
            'model_handle' => 2083.00048828125,
2144
            'n_bits' => 32,
2145
            'ownership' => 2,
2146
            'period' => '5e-009',
2147
            'preci_type' => 1,
2148
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2149
            'shared_memory_name' => 'debug4i',
2150
          },
2151
          'needs_vhdl_wrapper' => 0,
2152
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19',
2153
        },
2154
        'entityName' => 'x_x100',
2155
        'ports' => {
2156
          'data_out' => {
2157
            'attributes' => {
2158
              'bin_pt' => 0,
2159
              'is_floating_block' => 1,
2160
              'must_be_hdl_vector' => 1,
2161
              'period' => 1,
2162
              'port_id' => 0,
2163
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19/data_out',
2164
              'type' => 'UFix_32_0',
2165
            },
2166
            'direction' => 'out',
2167
            'hdlType' => 'std_logic_vector(31 downto 0)',
2168
            'width' => 32,
2169
          },
2170
        },
2171
      },
2172
      'entityName' => 'x_x100',
2173
    },
2174
    'from_register2' => {
2175
      'connections' => {
2176
        'data_out' => 'from_register2_data_out_net',
2177
      },
2178
      'entity' => {
2179
        'attributes' => {
2180
          'generics' => [
2181
          ],
2182
          'is_floating_block' => 1,
2183
          'mask' => {
2184
            'Block_Handle' => 2407.00048828125,
2185
            'Block_handle' => 2407.00048828125,
2186
            'MDL_Handle' => 2083.00048828125,
2187
            'MDL_handle' => 2083.00048828125,
2188
            'arith_type' => 2,
2189
            'bin_pt' => 0,
2190
            'block_config' => 'sysgen_blockset:fromreg_config',
2191
            'block_handle' => 2407.00048828125,
2192
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2',
2193
            'block_type' => 'fromreg',
2194
            'dbl_ovrd' => 0,
2195
            'gui_display_data_type' => 1,
2196
            'init' => 0,
2197
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2198
            'mdl_handle' => 2083.00048828125,
2199
            'model_handle' => 2083.00048828125,
2200
            'n_bits' => 32,
2201
            'ownership' => 2,
2202
            'period' => '5e-009',
2203
            'preci_type' => 1,
2204
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2205
            'shared_memory_name' => 'debug3i',
2206
          },
2207
          'needs_vhdl_wrapper' => 0,
2208
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2',
2209
        },
2210
        'entityName' => 'x_x101',
2211
        'ports' => {
2212
          'data_out' => {
2213
            'attributes' => {
2214
              'bin_pt' => 0,
2215
              'is_floating_block' => 1,
2216
              'must_be_hdl_vector' => 1,
2217
              'period' => 1,
2218
              'port_id' => 0,
2219
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2/data_out',
2220
              'type' => 'UFix_32_0',
2221
            },
2222
            'direction' => 'out',
2223
            'hdlType' => 'std_logic_vector(31 downto 0)',
2224
            'width' => 32,
2225
          },
2226
        },
2227
      },
2228
      'entityName' => 'x_x101',
2229
    },
2230
    'from_register20' => {
2231
      'connections' => {
2232
        'data_out' => 'data_out_x12_net',
2233
      },
2234
      'entity' => {
2235
        'attributes' => {
2236
          'generics' => [
2237
          ],
2238
          'is_floating_block' => 1,
2239
          'mask' => {
2240
            'Block_Handle' => 2408.00048828125,
2241
            'Block_handle' => 2408.00048828125,
2242
            'MDL_Handle' => 2083.00048828125,
2243
            'MDL_handle' => 2083.00048828125,
2244
            'arith_type' => 2,
2245
            'bin_pt' => 0,
2246
            'block_config' => 'sysgen_blockset:fromreg_config',
2247
            'block_handle' => 2408.00048828125,
2248
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20',
2249
            'block_type' => 'fromreg',
2250
            'dbl_ovrd' => 0,
2251
            'gui_display_data_type' => 1,
2252
            'init' => 0,
2253
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2254
            'mdl_handle' => 2083.00048828125,
2255
            'model_handle' => 2083.00048828125,
2256
            'n_bits' => 32,
2257
            'ownership' => 2,
2258
            'period' => '5e-009',
2259
            'preci_type' => 1,
2260
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2261
            'shared_memory_name' => 'register08td',
2262
          },
2263
          'needs_vhdl_wrapper' => 0,
2264
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20',
2265
        },
2266
        'entityName' => 'x_x102',
2267
        'ports' => {
2268
          'data_out' => {
2269
            'attributes' => {
2270
              'bin_pt' => 0,
2271
              'is_floating_block' => 1,
2272
              'must_be_hdl_vector' => 1,
2273
              'period' => 1,
2274
              'port_id' => 0,
2275
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20/data_out',
2276
              'type' => 'UFix_32_0',
2277
            },
2278
            'direction' => 'out',
2279
            'hdlType' => 'std_logic_vector(31 downto 0)',
2280
            'width' => 32,
2281
          },
2282
        },
2283
      },
2284
      'entityName' => 'x_x102',
2285
    },
2286
    'from_register21' => {
2287
      'connections' => {
2288
        'data_out' => 'data_out_x13_net',
2289
      },
2290
      'entity' => {
2291
        'attributes' => {
2292
          'generics' => [
2293
          ],
2294
          'is_floating_block' => 1,
2295
          'mask' => {
2296
            'Block_Handle' => 2409.00048828125,
2297
            'Block_handle' => 2409.00048828125,
2298
            'MDL_Handle' => 2083.00048828125,
2299
            'MDL_handle' => 2083.00048828125,
2300
            'arith_type' => 2,
2301
            'bin_pt' => 0,
2302
            'block_config' => 'sysgen_blockset:fromreg_config',
2303
            'block_handle' => 2409.00048828125,
2304
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21',
2305
            'block_type' => 'fromreg',
2306
            'dbl_ovrd' => 0,
2307
            'gui_display_data_type' => 1,
2308
            'init' => 0,
2309
            'init_bit_vector' => '\'b0',
2310
            'mdl_handle' => 2083.00048828125,
2311
            'model_handle' => 2083.00048828125,
2312
            'n_bits' => 1,
2313
            'ownership' => 2,
2314
            'period' => '5e-009',
2315
            'preci_type' => 1,
2316
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2317
            'shared_memory_name' => 'register08tv',
2318
          },
2319
          'needs_vhdl_wrapper' => 0,
2320
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21',
2321
        },
2322
        'entityName' => 'x_x103',
2323
        'ports' => {
2324
          'data_out' => {
2325
            'attributes' => {
2326
              'bin_pt' => 0,
2327
              'is_floating_block' => 1,
2328
              'must_be_hdl_vector' => 1,
2329
              'period' => 1,
2330
              'port_id' => 0,
2331
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21/data_out',
2332
              'type' => 'UFix_1_0',
2333
            },
2334
            'direction' => 'out',
2335
            'hdlType' => 'std_logic_vector(0 downto 0)',
2336
            'width' => 1,
2337
          },
2338
        },
2339
      },
2340
      'entityName' => 'x_x103',
2341
    },
2342
    'from_register22' => {
2343
      'connections' => {
2344
        'data_out' => 'data_out_x14_net',
2345
      },
2346
      'entity' => {
2347
        'attributes' => {
2348
          'generics' => [
2349
          ],
2350
          'is_floating_block' => 1,
2351
          'mask' => {
2352
            'Block_Handle' => 2410.00048828125,
2353
            'Block_handle' => 2410.00048828125,
2354
            'MDL_Handle' => 2083.00048828125,
2355
            'MDL_handle' => 2083.00048828125,
2356
            'arith_type' => 2,
2357
            'bin_pt' => 0,
2358
            'block_config' => 'sysgen_blockset:fromreg_config',
2359
            'block_handle' => 2410.00048828125,
2360
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22',
2361
            'block_type' => 'fromreg',
2362
            'dbl_ovrd' => 0,
2363
            'gui_display_data_type' => 1,
2364
            'init' => 0,
2365
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2366
            'mdl_handle' => 2083.00048828125,
2367
            'model_handle' => 2083.00048828125,
2368
            'n_bits' => 32,
2369
            'ownership' => 2,
2370
            'period' => '5e-009',
2371
            'preci_type' => 1,
2372
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2373
            'shared_memory_name' => 'register09td',
2374
          },
2375
          'needs_vhdl_wrapper' => 0,
2376
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22',
2377
        },
2378
        'entityName' => 'x_x104',
2379
        'ports' => {
2380
          'data_out' => {
2381
            'attributes' => {
2382
              'bin_pt' => 0,
2383
              'is_floating_block' => 1,
2384
              'must_be_hdl_vector' => 1,
2385
              'period' => 1,
2386
              'port_id' => 0,
2387
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22/data_out',
2388
              'type' => 'UFix_32_0',
2389
            },
2390
            'direction' => 'out',
2391
            'hdlType' => 'std_logic_vector(31 downto 0)',
2392
            'width' => 32,
2393
          },
2394
        },
2395
      },
2396
      'entityName' => 'x_x104',
2397
    },
2398
    'from_register23' => {
2399
      'connections' => {
2400
        'data_out' => 'data_out_x15_net',
2401
      },
2402
      'entity' => {
2403
        'attributes' => {
2404
          'generics' => [
2405
          ],
2406
          'is_floating_block' => 1,
2407
          'mask' => {
2408
            'Block_Handle' => 2411.00048828125,
2409
            'Block_handle' => 2411.00048828125,
2410
            'MDL_Handle' => 2083.00048828125,
2411
            'MDL_handle' => 2083.00048828125,
2412
            'arith_type' => 2,
2413
            'bin_pt' => 0,
2414
            'block_config' => 'sysgen_blockset:fromreg_config',
2415
            'block_handle' => 2411.00048828125,
2416
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23',
2417
            'block_type' => 'fromreg',
2418
            'dbl_ovrd' => 0,
2419
            'gui_display_data_type' => 1,
2420
            'init' => 0,
2421
            'init_bit_vector' => '\'b0',
2422
            'mdl_handle' => 2083.00048828125,
2423
            'model_handle' => 2083.00048828125,
2424
            'n_bits' => 1,
2425
            'ownership' => 2,
2426
            'period' => '5e-009',
2427
            'preci_type' => 1,
2428
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2429
            'shared_memory_name' => 'register09tv',
2430
          },
2431
          'needs_vhdl_wrapper' => 0,
2432
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23',
2433
        },
2434
        'entityName' => 'x_x105',
2435
        'ports' => {
2436
          'data_out' => {
2437
            'attributes' => {
2438
              'bin_pt' => 0,
2439
              'is_floating_block' => 1,
2440
              'must_be_hdl_vector' => 1,
2441
              'period' => 1,
2442
              'port_id' => 0,
2443
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23/data_out',
2444
              'type' => 'UFix_1_0',
2445
            },
2446
            'direction' => 'out',
2447
            'hdlType' => 'std_logic_vector(0 downto 0)',
2448
            'width' => 1,
2449
          },
2450
        },
2451
      },
2452
      'entityName' => 'x_x105',
2453
    },
2454
    'from_register24' => {
2455
      'connections' => {
2456
        'data_out' => 'data_out_x16_net',
2457
      },
2458
      'entity' => {
2459
        'attributes' => {
2460
          'generics' => [
2461
          ],
2462
          'is_floating_block' => 1,
2463
          'mask' => {
2464
            'Block_Handle' => 2412.00048828125,
2465
            'Block_handle' => 2412.00048828125,
2466
            'MDL_Handle' => 2083.00048828125,
2467
            'MDL_handle' => 2083.00048828125,
2468
            'arith_type' => 2,
2469
            'bin_pt' => 0,
2470
            'block_config' => 'sysgen_blockset:fromreg_config',
2471
            'block_handle' => 2412.00048828125,
2472
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24',
2473
            'block_type' => 'fromreg',
2474
            'dbl_ovrd' => 0,
2475
            'gui_display_data_type' => 1,
2476
            'init' => 0,
2477
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2478
            'mdl_handle' => 2083.00048828125,
2479
            'model_handle' => 2083.00048828125,
2480
            'n_bits' => 32,
2481
            'ownership' => 2,
2482
            'period' => '5e-009',
2483
            'preci_type' => 1,
2484
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2485
            'shared_memory_name' => 'register10td',
2486
          },
2487
          'needs_vhdl_wrapper' => 0,
2488
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24',
2489
        },
2490
        'entityName' => 'x_x106',
2491
        'ports' => {
2492
          'data_out' => {
2493
            'attributes' => {
2494
              'bin_pt' => 0,
2495
              'is_floating_block' => 1,
2496
              'must_be_hdl_vector' => 1,
2497
              'period' => 1,
2498
              'port_id' => 0,
2499
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24/data_out',
2500
              'type' => 'UFix_32_0',
2501
            },
2502
            'direction' => 'out',
2503
            'hdlType' => 'std_logic_vector(31 downto 0)',
2504
            'width' => 32,
2505
          },
2506
        },
2507
      },
2508
      'entityName' => 'x_x106',
2509
    },
2510
    'from_register25' => {
2511
      'connections' => {
2512
        'data_out' => 'data_out_x17_net',
2513
      },
2514
      'entity' => {
2515
        'attributes' => {
2516
          'generics' => [
2517
          ],
2518
          'is_floating_block' => 1,
2519
          'mask' => {
2520
            'Block_Handle' => 2413.00048828125,
2521
            'Block_handle' => 2413.00048828125,
2522
            'MDL_Handle' => 2083.00048828125,
2523
            'MDL_handle' => 2083.00048828125,
2524
            'arith_type' => 2,
2525
            'bin_pt' => 0,
2526
            'block_config' => 'sysgen_blockset:fromreg_config',
2527
            'block_handle' => 2413.00048828125,
2528
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25',
2529
            'block_type' => 'fromreg',
2530
            'dbl_ovrd' => 0,
2531
            'gui_display_data_type' => 1,
2532
            'init' => 0,
2533
            'init_bit_vector' => '\'b0',
2534
            'mdl_handle' => 2083.00048828125,
2535
            'model_handle' => 2083.00048828125,
2536
            'n_bits' => 1,
2537
            'ownership' => 2,
2538
            'period' => '5e-009',
2539
            'preci_type' => 1,
2540
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2541
            'shared_memory_name' => 'register10tv',
2542
          },
2543
          'needs_vhdl_wrapper' => 0,
2544
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25',
2545
        },
2546
        'entityName' => 'x_x107',
2547
        'ports' => {
2548
          'data_out' => {
2549
            'attributes' => {
2550
              'bin_pt' => 0,
2551
              'is_floating_block' => 1,
2552
              'must_be_hdl_vector' => 1,
2553
              'period' => 1,
2554
              'port_id' => 0,
2555
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25/data_out',
2556
              'type' => 'UFix_1_0',
2557
            },
2558
            'direction' => 'out',
2559
            'hdlType' => 'std_logic_vector(0 downto 0)',
2560
            'width' => 1,
2561
          },
2562
        },
2563
      },
2564
      'entityName' => 'x_x107',
2565
    },
2566
    'from_register26' => {
2567
      'connections' => {
2568
        'data_out' => 'data_out_x18_net',
2569
      },
2570
      'entity' => {
2571
        'attributes' => {
2572
          'generics' => [
2573
          ],
2574
          'is_floating_block' => 1,
2575
          'mask' => {
2576
            'Block_Handle' => 2414.00048828125,
2577
            'Block_handle' => 2414.00048828125,
2578
            'MDL_Handle' => 2083.00048828125,
2579
            'MDL_handle' => 2083.00048828125,
2580
            'arith_type' => 2,
2581
            'bin_pt' => 0,
2582
            'block_config' => 'sysgen_blockset:fromreg_config',
2583
            'block_handle' => 2414.00048828125,
2584
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26',
2585
            'block_type' => 'fromreg',
2586
            'dbl_ovrd' => 0,
2587
            'gui_display_data_type' => 1,
2588
            'init' => 0,
2589
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2590
            'mdl_handle' => 2083.00048828125,
2591
            'model_handle' => 2083.00048828125,
2592
            'n_bits' => 32,
2593
            'ownership' => 2,
2594
            'period' => '5e-009',
2595
            'preci_type' => 1,
2596
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2597
            'shared_memory_name' => 'register11td',
2598
          },
2599
          'needs_vhdl_wrapper' => 0,
2600
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26',
2601
        },
2602
        'entityName' => 'x_x108',
2603
        'ports' => {
2604
          'data_out' => {
2605
            'attributes' => {
2606
              'bin_pt' => 0,
2607
              'is_floating_block' => 1,
2608
              'must_be_hdl_vector' => 1,
2609
              'period' => 1,
2610
              'port_id' => 0,
2611
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26/data_out',
2612
              'type' => 'UFix_32_0',
2613
            },
2614
            'direction' => 'out',
2615
            'hdlType' => 'std_logic_vector(31 downto 0)',
2616
            'width' => 32,
2617
          },
2618
        },
2619
      },
2620
      'entityName' => 'x_x108',
2621
    },
2622
    'from_register27' => {
2623
      'connections' => {
2624
        'data_out' => 'data_out_x19_net',
2625
      },
2626
      'entity' => {
2627
        'attributes' => {
2628
          'generics' => [
2629
          ],
2630
          'is_floating_block' => 1,
2631
          'mask' => {
2632
            'Block_Handle' => 2415.00048828125,
2633
            'Block_handle' => 2415.00048828125,
2634
            'MDL_Handle' => 2083.00048828125,
2635
            'MDL_handle' => 2083.00048828125,
2636
            'arith_type' => 2,
2637
            'bin_pt' => 0,
2638
            'block_config' => 'sysgen_blockset:fromreg_config',
2639
            'block_handle' => 2415.00048828125,
2640
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27',
2641
            'block_type' => 'fromreg',
2642
            'dbl_ovrd' => 0,
2643
            'gui_display_data_type' => 1,
2644
            'init' => 0,
2645
            'init_bit_vector' => '\'b0',
2646
            'mdl_handle' => 2083.00048828125,
2647
            'model_handle' => 2083.00048828125,
2648
            'n_bits' => 1,
2649
            'ownership' => 2,
2650
            'period' => '5e-009',
2651
            'preci_type' => 1,
2652
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2653
            'shared_memory_name' => 'register11tv',
2654
          },
2655
          'needs_vhdl_wrapper' => 0,
2656
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27',
2657
        },
2658
        'entityName' => 'x_x109',
2659
        'ports' => {
2660
          'data_out' => {
2661
            'attributes' => {
2662
              'bin_pt' => 0,
2663
              'is_floating_block' => 1,
2664
              'must_be_hdl_vector' => 1,
2665
              'period' => 1,
2666
              'port_id' => 0,
2667
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27/data_out',
2668
              'type' => 'UFix_1_0',
2669
            },
2670
            'direction' => 'out',
2671
            'hdlType' => 'std_logic_vector(0 downto 0)',
2672
            'width' => 1,
2673
          },
2674
        },
2675
      },
2676
      'entityName' => 'x_x109',
2677
    },
2678
    'from_register28' => {
2679
      'connections' => {
2680
        'data_out' => 'data_out_x20_net',
2681
      },
2682
      'entity' => {
2683
        'attributes' => {
2684
          'generics' => [
2685
          ],
2686
          'is_floating_block' => 1,
2687
          'mask' => {
2688
            'Block_Handle' => 2416.00048828125,
2689
            'Block_handle' => 2416.00048828125,
2690
            'MDL_Handle' => 2083.00048828125,
2691
            'MDL_handle' => 2083.00048828125,
2692
            'arith_type' => 2,
2693
            'bin_pt' => 0,
2694
            'block_config' => 'sysgen_blockset:fromreg_config',
2695
            'block_handle' => 2416.00048828125,
2696
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28',
2697
            'block_type' => 'fromreg',
2698
            'dbl_ovrd' => 0,
2699
            'gui_display_data_type' => 1,
2700
            'init' => 0,
2701
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2702
            'mdl_handle' => 2083.00048828125,
2703
            'model_handle' => 2083.00048828125,
2704
            'n_bits' => 32,
2705
            'ownership' => 2,
2706
            'period' => '5e-009',
2707
            'preci_type' => 1,
2708
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2709
            'shared_memory_name' => 'register12td',
2710
          },
2711
          'needs_vhdl_wrapper' => 0,
2712
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28',
2713
        },
2714
        'entityName' => 'x_x110',
2715
        'ports' => {
2716
          'data_out' => {
2717
            'attributes' => {
2718
              'bin_pt' => 0,
2719
              'is_floating_block' => 1,
2720
              'must_be_hdl_vector' => 1,
2721
              'period' => 1,
2722
              'port_id' => 0,
2723
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28/data_out',
2724
              'type' => 'UFix_32_0',
2725
            },
2726
            'direction' => 'out',
2727
            'hdlType' => 'std_logic_vector(31 downto 0)',
2728
            'width' => 32,
2729
          },
2730
        },
2731
      },
2732
      'entityName' => 'x_x110',
2733
    },
2734
    'from_register29' => {
2735
      'connections' => {
2736
        'data_out' => 'data_out_x21_net',
2737
      },
2738
      'entity' => {
2739
        'attributes' => {
2740
          'generics' => [
2741
          ],
2742
          'is_floating_block' => 1,
2743
          'mask' => {
2744
            'Block_Handle' => 2417.00048828125,
2745
            'Block_handle' => 2417.00048828125,
2746
            'MDL_Handle' => 2083.00048828125,
2747
            'MDL_handle' => 2083.00048828125,
2748
            'arith_type' => 2,
2749
            'bin_pt' => 0,
2750
            'block_config' => 'sysgen_blockset:fromreg_config',
2751
            'block_handle' => 2417.00048828125,
2752
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29',
2753
            'block_type' => 'fromreg',
2754
            'dbl_ovrd' => 0,
2755
            'gui_display_data_type' => 1,
2756
            'init' => 0,
2757
            'init_bit_vector' => '\'b0',
2758
            'mdl_handle' => 2083.00048828125,
2759
            'model_handle' => 2083.00048828125,
2760
            'n_bits' => 1,
2761
            'ownership' => 2,
2762
            'period' => '5e-009',
2763
            'preci_type' => 1,
2764
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2765
            'shared_memory_name' => 'register12tv',
2766
          },
2767
          'needs_vhdl_wrapper' => 0,
2768
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29',
2769
        },
2770
        'entityName' => 'x_x111',
2771
        'ports' => {
2772
          'data_out' => {
2773
            'attributes' => {
2774
              'bin_pt' => 0,
2775
              'is_floating_block' => 1,
2776
              'must_be_hdl_vector' => 1,
2777
              'period' => 1,
2778
              'port_id' => 0,
2779
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29/data_out',
2780
              'type' => 'UFix_1_0',
2781
            },
2782
            'direction' => 'out',
2783
            'hdlType' => 'std_logic_vector(0 downto 0)',
2784
            'width' => 1,
2785
          },
2786
        },
2787
      },
2788
      'entityName' => 'x_x111',
2789
    },
2790
    'from_register3' => {
2791
      'connections' => {
2792
        'data_out' => 'data_out_x22_net',
2793
      },
2794
      'entity' => {
2795
        'attributes' => {
2796
          'generics' => [
2797
          ],
2798
          'is_floating_block' => 1,
2799
          'mask' => {
2800
            'Block_Handle' => 2418.00048828125,
2801
            'Block_handle' => 2418.00048828125,
2802
            'MDL_Handle' => 2083.00048828125,
2803
            'MDL_handle' => 2083.00048828125,
2804
            'arith_type' => 2,
2805
            'bin_pt' => 0,
2806
            'block_config' => 'sysgen_blockset:fromreg_config',
2807
            'block_handle' => 2418.00048828125,
2808
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3',
2809
            'block_type' => 'fromreg',
2810
            'dbl_ovrd' => 0,
2811
            'gui_display_data_type' => 1,
2812
            'init' => 0,
2813
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2814
            'mdl_handle' => 2083.00048828125,
2815
            'model_handle' => 2083.00048828125,
2816
            'n_bits' => 32,
2817
            'ownership' => 2,
2818
            'period' => '5e-009',
2819
            'preci_type' => 1,
2820
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2821
            'shared_memory_name' => 'register01td',
2822
          },
2823
          'needs_vhdl_wrapper' => 0,
2824
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3',
2825
        },
2826
        'entityName' => 'x_x112',
2827
        'ports' => {
2828
          'data_out' => {
2829
            'attributes' => {
2830
              'bin_pt' => 0,
2831
              'is_floating_block' => 1,
2832
              'must_be_hdl_vector' => 1,
2833
              'period' => 1,
2834
              'port_id' => 0,
2835
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3/data_out',
2836
              'type' => 'UFix_32_0',
2837
            },
2838
            'direction' => 'out',
2839
            'hdlType' => 'std_logic_vector(31 downto 0)',
2840
            'width' => 32,
2841
          },
2842
        },
2843
      },
2844
      'entityName' => 'x_x112',
2845
    },
2846
    'from_register30' => {
2847
      'connections' => {
2848
        'data_out' => 'data_out_x23_net',
2849
      },
2850
      'entity' => {
2851
        'attributes' => {
2852
          'generics' => [
2853
          ],
2854
          'is_floating_block' => 1,
2855
          'mask' => {
2856
            'Block_Handle' => 2419.00048828125,
2857
            'Block_handle' => 2419.00048828125,
2858
            'MDL_Handle' => 2083.00048828125,
2859
            'MDL_handle' => 2083.00048828125,
2860
            'arith_type' => 2,
2861
            'bin_pt' => 0,
2862
            'block_config' => 'sysgen_blockset:fromreg_config',
2863
            'block_handle' => 2419.00048828125,
2864
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30',
2865
            'block_type' => 'fromreg',
2866
            'dbl_ovrd' => 0,
2867
            'gui_display_data_type' => 1,
2868
            'init' => 0,
2869
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2870
            'mdl_handle' => 2083.00048828125,
2871
            'model_handle' => 2083.00048828125,
2872
            'n_bits' => 32,
2873
            'ownership' => 2,
2874
            'period' => '5e-009',
2875
            'preci_type' => 1,
2876
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2877
            'shared_memory_name' => 'register13td',
2878
          },
2879
          'needs_vhdl_wrapper' => 0,
2880
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30',
2881
        },
2882
        'entityName' => 'x_x113',
2883
        'ports' => {
2884
          'data_out' => {
2885
            'attributes' => {
2886
              'bin_pt' => 0,
2887
              'is_floating_block' => 1,
2888
              'must_be_hdl_vector' => 1,
2889
              'period' => 1,
2890
              'port_id' => 0,
2891
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30/data_out',
2892
              'type' => 'UFix_32_0',
2893
            },
2894
            'direction' => 'out',
2895
            'hdlType' => 'std_logic_vector(31 downto 0)',
2896
            'width' => 32,
2897
          },
2898
        },
2899
      },
2900
      'entityName' => 'x_x113',
2901
    },
2902
    'from_register31' => {
2903
      'connections' => {
2904
        'data_out' => 'data_out_x24_net',
2905
      },
2906
      'entity' => {
2907
        'attributes' => {
2908
          'generics' => [
2909
          ],
2910
          'is_floating_block' => 1,
2911
          'mask' => {
2912
            'Block_Handle' => 2420.00048828125,
2913
            'Block_handle' => 2420.00048828125,
2914
            'MDL_Handle' => 2083.00048828125,
2915
            'MDL_handle' => 2083.00048828125,
2916
            'arith_type' => 2,
2917
            'bin_pt' => 0,
2918
            'block_config' => 'sysgen_blockset:fromreg_config',
2919
            'block_handle' => 2420.00048828125,
2920
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31',
2921
            'block_type' => 'fromreg',
2922
            'dbl_ovrd' => 0,
2923
            'gui_display_data_type' => 1,
2924
            'init' => 0,
2925
            'init_bit_vector' => '\'b0',
2926
            'mdl_handle' => 2083.00048828125,
2927
            'model_handle' => 2083.00048828125,
2928
            'n_bits' => 1,
2929
            'ownership' => 2,
2930
            'period' => '5e-009',
2931
            'preci_type' => 1,
2932
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2933
            'shared_memory_name' => 'register13tv',
2934
          },
2935
          'needs_vhdl_wrapper' => 0,
2936
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31',
2937
        },
2938
        'entityName' => 'x_x114',
2939
        'ports' => {
2940
          'data_out' => {
2941
            'attributes' => {
2942
              'bin_pt' => 0,
2943
              'is_floating_block' => 1,
2944
              'must_be_hdl_vector' => 1,
2945
              'period' => 1,
2946
              'port_id' => 0,
2947
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31/data_out',
2948
              'type' => 'UFix_1_0',
2949
            },
2950
            'direction' => 'out',
2951
            'hdlType' => 'std_logic_vector(0 downto 0)',
2952
            'width' => 1,
2953
          },
2954
        },
2955
      },
2956
      'entityName' => 'x_x114',
2957
    },
2958
    'from_register32' => {
2959
      'connections' => {
2960
        'data_out' => 'data_out_x25_net',
2961
      },
2962
      'entity' => {
2963
        'attributes' => {
2964
          'generics' => [
2965
          ],
2966
          'is_floating_block' => 1,
2967
          'mask' => {
2968
            'Block_Handle' => 2421.00048828125,
2969
            'Block_handle' => 2421.00048828125,
2970
            'MDL_Handle' => 2083.00048828125,
2971
            'MDL_handle' => 2083.00048828125,
2972
            'arith_type' => 2,
2973
            'bin_pt' => 0,
2974
            'block_config' => 'sysgen_blockset:fromreg_config',
2975
            'block_handle' => 2421.00048828125,
2976
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32',
2977
            'block_type' => 'fromreg',
2978
            'dbl_ovrd' => 0,
2979
            'gui_display_data_type' => 1,
2980
            'init' => 0,
2981
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2982
            'mdl_handle' => 2083.00048828125,
2983
            'model_handle' => 2083.00048828125,
2984
            'n_bits' => 32,
2985
            'ownership' => 2,
2986
            'period' => '5e-009',
2987
            'preci_type' => 1,
2988
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2989
            'shared_memory_name' => 'register14td',
2990
          },
2991
          'needs_vhdl_wrapper' => 0,
2992
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32',
2993
        },
2994
        'entityName' => 'x_x115',
2995
        'ports' => {
2996
          'data_out' => {
2997
            'attributes' => {
2998
              'bin_pt' => 0,
2999
              'is_floating_block' => 1,
3000
              'must_be_hdl_vector' => 1,
3001
              'period' => 1,
3002
              'port_id' => 0,
3003
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32/data_out',
3004
              'type' => 'UFix_32_0',
3005
            },
3006
            'direction' => 'out',
3007
            'hdlType' => 'std_logic_vector(31 downto 0)',
3008
            'width' => 32,
3009
          },
3010
        },
3011
      },
3012
      'entityName' => 'x_x115',
3013
    },
3014
    'from_register33' => {
3015
      'connections' => {
3016
        'data_out' => 'data_out_x26_net',
3017
      },
3018
      'entity' => {
3019
        'attributes' => {
3020
          'generics' => [
3021
          ],
3022
          'is_floating_block' => 1,
3023
          'mask' => {
3024
            'Block_Handle' => 2422.00048828125,
3025
            'Block_handle' => 2422.00048828125,
3026
            'MDL_Handle' => 2083.00048828125,
3027
            'MDL_handle' => 2083.00048828125,
3028
            'arith_type' => 2,
3029
            'bin_pt' => 0,
3030
            'block_config' => 'sysgen_blockset:fromreg_config',
3031
            'block_handle' => 2422.00048828125,
3032
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33',
3033
            'block_type' => 'fromreg',
3034
            'dbl_ovrd' => 0,
3035
            'gui_display_data_type' => 1,
3036
            'init' => 0,
3037
            'init_bit_vector' => '\'b0',
3038
            'mdl_handle' => 2083.00048828125,
3039
            'model_handle' => 2083.00048828125,
3040
            'n_bits' => 1,
3041
            'ownership' => 2,
3042
            'period' => '5e-009',
3043
            'preci_type' => 1,
3044
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3045
            'shared_memory_name' => 'register14tv',
3046
          },
3047
          'needs_vhdl_wrapper' => 0,
3048
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33',
3049
        },
3050
        'entityName' => 'x_x116',
3051
        'ports' => {
3052
          'data_out' => {
3053
            'attributes' => {
3054
              'bin_pt' => 0,
3055
              'is_floating_block' => 1,
3056
              'must_be_hdl_vector' => 1,
3057
              'period' => 1,
3058
              'port_id' => 0,
3059
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33/data_out',
3060
              'type' => 'UFix_1_0',
3061
            },
3062
            'direction' => 'out',
3063
            'hdlType' => 'std_logic_vector(0 downto 0)',
3064
            'width' => 1,
3065
          },
3066
        },
3067
      },
3068
      'entityName' => 'x_x116',
3069
    },
3070
    'from_register4' => {
3071
      'connections' => {
3072
        'data_out' => 'data_out_x27_net',
3073
      },
3074
      'entity' => {
3075
        'attributes' => {
3076
          'generics' => [
3077
          ],
3078
          'is_floating_block' => 1,
3079
          'mask' => {
3080
            'Block_Handle' => 2423.00048828125,
3081
            'Block_handle' => 2423.00048828125,
3082
            'MDL_Handle' => 2083.00048828125,
3083
            'MDL_handle' => 2083.00048828125,
3084
            'arith_type' => 2,
3085
            'bin_pt' => 0,
3086
            'block_config' => 'sysgen_blockset:fromreg_config',
3087
            'block_handle' => 2423.00048828125,
3088
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4',
3089
            'block_type' => 'fromreg',
3090
            'dbl_ovrd' => 0,
3091
            'gui_display_data_type' => 1,
3092
            'init' => 0,
3093
            'init_bit_vector' => '\'b0',
3094
            'mdl_handle' => 2083.00048828125,
3095
            'model_handle' => 2083.00048828125,
3096
            'n_bits' => 1,
3097
            'ownership' => 2,
3098
            'period' => '5e-009',
3099
            'preci_type' => 1,
3100
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3101
            'shared_memory_name' => 'register01tv',
3102
          },
3103
          'needs_vhdl_wrapper' => 0,
3104
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4',
3105
        },
3106
        'entityName' => 'x_x117',
3107
        'ports' => {
3108
          'data_out' => {
3109
            'attributes' => {
3110
              'bin_pt' => 0,
3111
              'is_floating_block' => 1,
3112
              'must_be_hdl_vector' => 1,
3113
              'period' => 1,
3114
              'port_id' => 0,
3115
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4/data_out',
3116
              'type' => 'UFix_1_0',
3117
            },
3118
            'direction' => 'out',
3119
            'hdlType' => 'std_logic_vector(0 downto 0)',
3120
            'width' => 1,
3121
          },
3122
        },
3123
      },
3124
      'entityName' => 'x_x117',
3125
    },
3126
    'from_register5' => {
3127
      'connections' => {
3128
        'data_out' => 'data_out_x28_net',
3129
      },
3130
      'entity' => {
3131
        'attributes' => {
3132
          'generics' => [
3133
          ],
3134
          'is_floating_block' => 1,
3135
          'mask' => {
3136
            'Block_Handle' => 2424.00048828125,
3137
            'Block_handle' => 2424.00048828125,
3138
            'MDL_Handle' => 2083.00048828125,
3139
            'MDL_handle' => 2083.00048828125,
3140
            'arith_type' => 2,
3141
            'bin_pt' => 0,
3142
            'block_config' => 'sysgen_blockset:fromreg_config',
3143
            'block_handle' => 2424.00048828125,
3144
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5',
3145
            'block_type' => 'fromreg',
3146
            'dbl_ovrd' => 0,
3147
            'gui_display_data_type' => 1,
3148
            'init' => 0,
3149
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3150
            'mdl_handle' => 2083.00048828125,
3151
            'model_handle' => 2083.00048828125,
3152
            'n_bits' => 32,
3153
            'ownership' => 2,
3154
            'period' => '5e-009',
3155
            'preci_type' => 1,
3156
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3157
            'shared_memory_name' => 'register02td',
3158
          },
3159
          'needs_vhdl_wrapper' => 0,
3160
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5',
3161
        },
3162
        'entityName' => 'x_x118',
3163
        'ports' => {
3164
          'data_out' => {
3165
            'attributes' => {
3166
              'bin_pt' => 0,
3167
              'is_floating_block' => 1,
3168
              'must_be_hdl_vector' => 1,
3169
              'period' => 1,
3170
              'port_id' => 0,
3171
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5/data_out',
3172
              'type' => 'UFix_32_0',
3173
            },
3174
            'direction' => 'out',
3175
            'hdlType' => 'std_logic_vector(31 downto 0)',
3176
            'width' => 32,
3177
          },
3178
        },
3179
      },
3180
      'entityName' => 'x_x118',
3181
    },
3182
    'from_register6' => {
3183
      'connections' => {
3184
        'data_out' => 'data_out_x29_net',
3185
      },
3186
      'entity' => {
3187
        'attributes' => {
3188
          'generics' => [
3189
          ],
3190
          'is_floating_block' => 1,
3191
          'mask' => {
3192
            'Block_Handle' => 2425.00048828125,
3193
            'Block_handle' => 2425.00048828125,
3194
            'MDL_Handle' => 2083.00048828125,
3195
            'MDL_handle' => 2083.00048828125,
3196
            'arith_type' => 2,
3197
            'bin_pt' => 0,
3198
            'block_config' => 'sysgen_blockset:fromreg_config',
3199
            'block_handle' => 2425.00048828125,
3200
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6',
3201
            'block_type' => 'fromreg',
3202
            'dbl_ovrd' => 0,
3203
            'gui_display_data_type' => 1,
3204
            'init' => 0,
3205
            'init_bit_vector' => '\'b0',
3206
            'mdl_handle' => 2083.00048828125,
3207
            'model_handle' => 2083.00048828125,
3208
            'n_bits' => 1,
3209
            'ownership' => 2,
3210
            'period' => '5e-009',
3211
            'preci_type' => 1,
3212
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3213
            'shared_memory_name' => 'register02tv',
3214
          },
3215
          'needs_vhdl_wrapper' => 0,
3216
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6',
3217
        },
3218
        'entityName' => 'x_x119',
3219
        'ports' => {
3220
          'data_out' => {
3221
            'attributes' => {
3222
              'bin_pt' => 0,
3223
              'is_floating_block' => 1,
3224
              'must_be_hdl_vector' => 1,
3225
              'period' => 1,
3226
              'port_id' => 0,
3227
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6/data_out',
3228
              'type' => 'UFix_1_0',
3229
            },
3230
            'direction' => 'out',
3231
            'hdlType' => 'std_logic_vector(0 downto 0)',
3232
            'width' => 1,
3233
          },
3234
        },
3235
      },
3236
      'entityName' => 'x_x119',
3237
    },
3238
    'from_register7' => {
3239
      'connections' => {
3240
        'data_out' => 'data_out_x30_net',
3241
      },
3242
      'entity' => {
3243
        'attributes' => {
3244
          'generics' => [
3245
          ],
3246
          'is_floating_block' => 1,
3247
          'mask' => {
3248
            'Block_Handle' => 2426.00048828125,
3249
            'Block_handle' => 2426.00048828125,
3250
            'MDL_Handle' => 2083.00048828125,
3251
            'MDL_handle' => 2083.00048828125,
3252
            'arith_type' => 2,
3253
            'bin_pt' => 0,
3254
            'block_config' => 'sysgen_blockset:fromreg_config',
3255
            'block_handle' => 2426.00048828125,
3256
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7',
3257
            'block_type' => 'fromreg',
3258
            'dbl_ovrd' => 0,
3259
            'gui_display_data_type' => 1,
3260
            'init' => 0,
3261
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3262
            'mdl_handle' => 2083.00048828125,
3263
            'model_handle' => 2083.00048828125,
3264
            'n_bits' => 32,
3265
            'ownership' => 2,
3266
            'period' => '5e-009',
3267
            'preci_type' => 1,
3268
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3269
            'shared_memory_name' => 'register03td',
3270
          },
3271
          'needs_vhdl_wrapper' => 0,
3272
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7',
3273
        },
3274
        'entityName' => 'x_x120',
3275
        'ports' => {
3276
          'data_out' => {
3277
            'attributes' => {
3278
              'bin_pt' => 0,
3279
              'is_floating_block' => 1,
3280
              'must_be_hdl_vector' => 1,
3281
              'period' => 1,
3282
              'port_id' => 0,
3283
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7/data_out',
3284
              'type' => 'UFix_32_0',
3285
            },
3286
            'direction' => 'out',
3287
            'hdlType' => 'std_logic_vector(31 downto 0)',
3288
            'width' => 32,
3289
          },
3290
        },
3291
      },
3292
      'entityName' => 'x_x120',
3293
    },
3294
    'from_register8' => {
3295
      'connections' => {
3296
        'data_out' => 'data_out_x31_net',
3297
      },
3298
      'entity' => {
3299
        'attributes' => {
3300
          'generics' => [
3301
          ],
3302
          'is_floating_block' => 1,
3303
          'mask' => {
3304
            'Block_Handle' => 2427.00048828125,
3305
            'Block_handle' => 2427.00048828125,
3306
            'MDL_Handle' => 2083.00048828125,
3307
            'MDL_handle' => 2083.00048828125,
3308
            'arith_type' => 2,
3309
            'bin_pt' => 0,
3310
            'block_config' => 'sysgen_blockset:fromreg_config',
3311
            'block_handle' => 2427.00048828125,
3312
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8',
3313
            'block_type' => 'fromreg',
3314
            'dbl_ovrd' => 0,
3315
            'gui_display_data_type' => 1,
3316
            'init' => 0,
3317
            'init_bit_vector' => '\'b0',
3318
            'mdl_handle' => 2083.00048828125,
3319
            'model_handle' => 2083.00048828125,
3320
            'n_bits' => 1,
3321
            'ownership' => 2,
3322
            'period' => '5e-009',
3323
            'preci_type' => 1,
3324
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3325
            'shared_memory_name' => 'register03tv',
3326
          },
3327
          'needs_vhdl_wrapper' => 0,
3328
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8',
3329
        },
3330
        'entityName' => 'x_x121',
3331
        'ports' => {
3332
          'data_out' => {
3333
            'attributes' => {
3334
              'bin_pt' => 0,
3335
              'is_floating_block' => 1,
3336
              'must_be_hdl_vector' => 1,
3337
              'period' => 1,
3338
              'port_id' => 0,
3339
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8/data_out',
3340
              'type' => 'UFix_1_0',
3341
            },
3342
            'direction' => 'out',
3343
            'hdlType' => 'std_logic_vector(0 downto 0)',
3344
            'width' => 1,
3345
          },
3346
        },
3347
      },
3348
      'entityName' => 'x_x121',
3349
    },
3350
    'from_register9' => {
3351
      'connections' => {
3352
        'data_out' => 'data_out_x32_net',
3353
      },
3354
      'entity' => {
3355
        'attributes' => {
3356
          'generics' => [
3357
          ],
3358
          'is_floating_block' => 1,
3359
          'mask' => {
3360
            'Block_Handle' => 2428.00048828125,
3361
            'Block_handle' => 2428.00048828125,
3362
            'MDL_Handle' => 2083.00048828125,
3363
            'MDL_handle' => 2083.00048828125,
3364
            'arith_type' => 2,
3365
            'bin_pt' => 0,
3366
            'block_config' => 'sysgen_blockset:fromreg_config',
3367
            'block_handle' => 2428.00048828125,
3368
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9',
3369
            'block_type' => 'fromreg',
3370
            'dbl_ovrd' => 0,
3371
            'gui_display_data_type' => 1,
3372
            'init' => 0,
3373
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3374
            'mdl_handle' => 2083.00048828125,
3375
            'model_handle' => 2083.00048828125,
3376
            'n_bits' => 32,
3377
            'ownership' => 2,
3378
            'period' => '5e-009',
3379
            'preci_type' => 1,
3380
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3381
            'shared_memory_name' => 'register04td',
3382
          },
3383
          'needs_vhdl_wrapper' => 0,
3384
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9',
3385
        },
3386
        'entityName' => 'x_x122',
3387
        'ports' => {
3388
          'data_out' => {
3389
            'attributes' => {
3390
              'bin_pt' => 0,
3391
              'is_floating_block' => 1,
3392
              'must_be_hdl_vector' => 1,
3393
              'period' => 1,
3394
              'port_id' => 0,
3395
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9/data_out',
3396
              'type' => 'UFix_32_0',
3397
            },
3398
            'direction' => 'out',
3399
            'hdlType' => 'std_logic_vector(31 downto 0)',
3400
            'width' => 32,
3401
          },
3402
        },
3403
      },
3404
      'entityName' => 'x_x122',
3405
    },
3406
    'rst_i' => {
3407
      'connections' => {
3408
        'rst_i' => 'rst_i_net',
3409
      },
3410
      'entity' => {
3411
        'attributes' => {
3412
          'isGateway' => 1,
3413
          'is_floating_block' => 1,
3414
        },
3415
        'entityName' => 'rst_i',
3416
        'ports' => {
3417
          'rst_i' => {
3418
            'attributes' => {
3419
              'bin_pt' => 0,
3420
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_i.dat',
3421
              'is_floating_block' => 1,
3422
              'is_gateway_port' => 1,
3423
              'must_be_hdl_vector' => 1,
3424
              'period' => 1,
3425
              'port_id' => 0,
3426
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/rst_i/rst_i',
3427
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/rst_i',
3428
              'timingConstraint' => 'none',
3429
              'type' => 'Bool',
3430
            },
3431
            'direction' => 'out',
3432
            'hdlType' => 'std_logic',
3433
            'width' => 1,
3434
          },
3435
        },
3436
      },
3437
      'entityName' => 'rst_i',
3438
    },
3439
    'rst_o' => {
3440
      'connections' => {
3441
        'rst_o' => 'rst_o_net',
3442
      },
3443
      'entity' => {
3444
        'attributes' => {
3445
          'isGateway' => 1,
3446
          'is_floating_block' => 1,
3447
        },
3448
        'entityName' => 'rst_o',
3449
        'ports' => {
3450
          'rst_o' => {
3451
            'attributes' => {
3452
              'bin_pt' => 0,
3453
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_o.dat',
3454
              'is_floating_block' => 1,
3455
              'is_gateway_port' => 1,
3456
              'must_be_hdl_vector' => 1,
3457
              'period' => 1,
3458
              'port_id' => 0,
3459
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/rst_o/rst_o',
3460
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/rst_o',
3461
              'timingConstraint' => 'none',
3462
              'type' => 'Bool',
3463
            },
3464
            'direction' => 'in',
3465
            'hdlType' => 'std_logic',
3466
            'width' => 1,
3467
          },
3468
        },
3469
      },
3470
      'entityName' => 'rst_o',
3471
    },
3472
    'to_register' => {
3473
      'connections' => {
3474
        'ce' => 'ce_1_sg_x0',
3475
        'clk' => 'clk_1_sg_x0',
3476
        'clr' => [
3477
          'constant',
3478
          '\'0\'',
3479
        ],
3480
        'data_in' => 'data_in_net',
3481
        'dout' => 'to_register_dout_net',
3482
        'en' => 'constant6_op_net_x0',
3483
      },
3484
      'entity' => {
3485
        'attributes' => {
3486
          'generics' => [
3487
          ],
3488
          'is_floating_block' => 1,
3489
          'mask' => {
3490
            'Block_Handle' => 2432.00048828125,
3491
            'Block_handle' => 2432.00048828125,
3492
            'MDL_Handle' => 2083.00048828125,
3493
            'MDL_handle' => 2083.00048828125,
3494
            'arith_type' => 1,
3495
            'bin_pt' => 14,
3496
            'block_config' => 'sysgen_blockset:toreg_config',
3497
            'block_handle' => 2432.00048828125,
3498
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register',
3499
            'block_type' => 'toreg',
3500
            'dbl_ovrd' => 0,
3501
            'explicit_data_type' => 0,
3502
            'gui_display_data_type' => 1,
3503
            'init' => 0,
3504
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3505
            'mdl_handle' => 2083.00048828125,
3506
            'model_handle' => 2083.00048828125,
3507
            'n_bits' => 16,
3508
            'ownership' => 1,
3509
            'preci_type' => 1,
3510
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3511
            'shared_memory_name' => 'register01rd',
3512
          },
3513
          'needs_vhdl_wrapper' => 0,
3514
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register',
3515
        },
3516
        'entityName' => 'x_x33',
3517
        'ports' => {
3518
          'ce' => {
3519
            'attributes' => {
3520
              'domain' => '',
3521
              'group' => 1,
3522
              'isCe' => 1,
3523
              'is_floating_block' => 1,
3524
              'period' => 1,
3525
              'type' => 'logic',
3526
            },
3527
            'direction' => 'in',
3528
            'hdlType' => 'std_logic',
3529
            'width' => 1,
3530
          },
3531
          'clk' => {
3532
            'attributes' => {
3533
              'domain' => '',
3534
              'group' => 1,
3535
              'isClk' => 1,
3536
              'is_floating_block' => 1,
3537
              'period' => 1,
3538
              'type' => 'logic',
3539
            },
3540
            'direction' => 'in',
3541
            'hdlType' => 'std_logic',
3542
            'width' => 1,
3543
          },
3544
          'clr' => {
3545
            'attributes' => {
3546
              'domain' => '',
3547
              'group' => 1,
3548
              'isClr' => 1,
3549
              'is_floating_block' => 1,
3550
              'period' => 1,
3551
              'type' => 'logic',
3552
              'valid_bit_used' => 0,
3553
            },
3554
            'direction' => 'in',
3555
            'hdlType' => 'std_logic',
3556
            'width' => 1,
3557
          },
3558
          'data_in' => {
3559
            'attributes' => {
3560
              'bin_pt' => 0,
3561
              'is_floating_block' => 1,
3562
              'must_be_hdl_vector' => 1,
3563
              'period' => 1,
3564
              'port_id' => 0,
3565
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/data_in',
3566
              'type' => 'UFix_32_0',
3567
            },
3568
            'direction' => 'in',
3569
            'hdlType' => 'std_logic_vector(31 downto 0)',
3570
            'width' => 32,
3571
          },
3572
          'dout' => {
3573
            'attributes' => {
3574
              'bin_pt' => 0,
3575
              'is_floating_block' => 1,
3576
              'must_be_hdl_vector' => 1,
3577
              'period' => 1,
3578
              'port_id' => 0,
3579
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/dout',
3580
              'type' => 'UFix_32_0',
3581
            },
3582
            'direction' => 'out',
3583
            'hdlType' => 'std_logic_vector(31 downto 0)',
3584
            'width' => 32,
3585
          },
3586
          'en' => {
3587
            'attributes' => {
3588
              'bin_pt' => 0,
3589
              'is_floating_block' => 1,
3590
              'must_be_hdl_vector' => 1,
3591
              'period' => 1,
3592
              'port_id' => 1,
3593
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/en',
3594
              'type' => 'Bool',
3595
            },
3596
            'direction' => 'in',
3597
            'hdlType' => 'std_logic_vector(0 downto 0)',
3598
            'width' => 1,
3599
          },
3600
        },
3601
      },
3602
      'entityName' => 'x_x33',
3603
    },
3604
    'to_register1' => {
3605
      'connections' => {
3606
        'ce' => 'ce_1_sg_x0',
3607
        'clk' => 'clk_1_sg_x0',
3608
        'clr' => [
3609
          'constant',
3610
          '\'0\'',
3611
        ],
3612
        'data_in' => 'data_in_x0_net',
3613
        'dout' => 'to_register1_dout_net',
3614
        'en' => 'constant6_op_net_x1',
3615
      },
3616
      'entity' => {
3617
        'attributes' => {
3618
          'generics' => [
3619
          ],
3620
          'is_floating_block' => 1,
3621
          'mask' => {
3622
            'Block_Handle' => 2433.00048828125,
3623
            'Block_handle' => 2433.00048828125,
3624
            'MDL_Handle' => 2083.00048828125,
3625
            'MDL_handle' => 2083.00048828125,
3626
            'arith_type' => 1,
3627
            'bin_pt' => 14,
3628
            'block_config' => 'sysgen_blockset:toreg_config',
3629
            'block_handle' => 2433.00048828125,
3630
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1',
3631
            'block_type' => 'toreg',
3632
            'dbl_ovrd' => 0,
3633
            'explicit_data_type' => 0,
3634
            'gui_display_data_type' => 1,
3635
            'init' => 0,
3636
            'init_bit_vector' => '\'b0',
3637
            'mdl_handle' => 2083.00048828125,
3638
            'model_handle' => 2083.00048828125,
3639
            'n_bits' => 16,
3640
            'ownership' => 1,
3641
            'preci_type' => 1,
3642
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3643
            'shared_memory_name' => 'register01rv',
3644
          },
3645
          'needs_vhdl_wrapper' => 0,
3646
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1',
3647
        },
3648
        'entityName' => 'x_x34',
3649
        'ports' => {
3650
          'ce' => {
3651
            'attributes' => {
3652
              'domain' => '',
3653
              'group' => 1,
3654
              'isCe' => 1,
3655
              'is_floating_block' => 1,
3656
              'period' => 1,
3657
              'type' => 'logic',
3658
            },
3659
            'direction' => 'in',
3660
            'hdlType' => 'std_logic',
3661
            'width' => 1,
3662
          },
3663
          'clk' => {
3664
            'attributes' => {
3665
              'domain' => '',
3666
              'group' => 1,
3667
              'isClk' => 1,
3668
              'is_floating_block' => 1,
3669
              'period' => 1,
3670
              'type' => 'logic',
3671
            },
3672
            'direction' => 'in',
3673
            'hdlType' => 'std_logic',
3674
            'width' => 1,
3675
          },
3676
          'clr' => {
3677
            'attributes' => {
3678
              'domain' => '',
3679
              'group' => 1,
3680
              'isClr' => 1,
3681
              'is_floating_block' => 1,
3682
              'period' => 1,
3683
              'type' => 'logic',
3684
              'valid_bit_used' => 0,
3685
            },
3686
            'direction' => 'in',
3687
            'hdlType' => 'std_logic',
3688
            'width' => 1,
3689
          },
3690
          'data_in' => {
3691
            'attributes' => {
3692
              'bin_pt' => 0,
3693
              'is_floating_block' => 1,
3694
              'must_be_hdl_vector' => 1,
3695
              'period' => 1,
3696
              'port_id' => 0,
3697
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/data_in',
3698
              'type' => 'Bool',
3699
            },
3700
            'direction' => 'in',
3701
            'hdlType' => 'std_logic_vector(0 downto 0)',
3702
            'width' => 1,
3703
          },
3704
          'dout' => {
3705
            'attributes' => {
3706
              'bin_pt' => 0,
3707
              'is_floating_block' => 1,
3708
              'must_be_hdl_vector' => 1,
3709
              'period' => 1,
3710
              'port_id' => 0,
3711
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/dout',
3712
              'type' => 'Bool',
3713
            },
3714
            'direction' => 'out',
3715
            'hdlType' => 'std_logic_vector(0 downto 0)',
3716
            'width' => 1,
3717
          },
3718
          'en' => {
3719
            'attributes' => {
3720
              'bin_pt' => 0,
3721
              'is_floating_block' => 1,
3722
              'must_be_hdl_vector' => 1,
3723
              'period' => 1,
3724
              'port_id' => 1,
3725
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/en',
3726
              'type' => 'Bool',
3727
            },
3728
            'direction' => 'in',
3729
            'hdlType' => 'std_logic_vector(0 downto 0)',
3730
            'width' => 1,
3731
          },
3732
        },
3733
      },
3734
      'entityName' => 'x_x34',
3735
    },
3736
    'to_register10' => {
3737
      'connections' => {
3738
        'ce' => 'ce_1_sg_x0',
3739
        'clk' => 'clk_1_sg_x0',
3740
        'clr' => [
3741
          'constant',
3742
          '\'0\'',
3743
        ],
3744
        'data_in' => 'data_in_x1_net',
3745
        'dout' => 'to_register10_dout_net',
3746
        'en' => 'constant6_op_net_x2',
3747
      },
3748
      'entity' => {
3749
        'attributes' => {
3750
          'generics' => [
3751
          ],
3752
          'is_floating_block' => 1,
3753
          'mask' => {
3754
            'Block_Handle' => 2434.00048828125,
3755
            'Block_handle' => 2434.00048828125,
3756
            'MDL_Handle' => 2083.00048828125,
3757
            'MDL_handle' => 2083.00048828125,
3758
            'arith_type' => 1,
3759
            'bin_pt' => 14,
3760
            'block_config' => 'sysgen_blockset:toreg_config',
3761
            'block_handle' => 2434.00048828125,
3762
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10',
3763
            'block_type' => 'toreg',
3764
            'dbl_ovrd' => 0,
3765
            'explicit_data_type' => 0,
3766
            'gui_display_data_type' => 1,
3767
            'init' => 0,
3768
            'init_bit_vector' => '\'b0',
3769
            'mdl_handle' => 2083.00048828125,
3770
            'model_handle' => 2083.00048828125,
3771
            'n_bits' => 16,
3772
            'ownership' => 1,
3773
            'preci_type' => 1,
3774
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3775
            'shared_memory_name' => 'register05rv',
3776
          },
3777
          'needs_vhdl_wrapper' => 0,
3778
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10',
3779
        },
3780
        'entityName' => 'x_x35',
3781
        'ports' => {
3782
          'ce' => {
3783
            'attributes' => {
3784
              'domain' => '',
3785
              'group' => 1,
3786
              'isCe' => 1,
3787
              'is_floating_block' => 1,
3788
              'period' => 1,
3789
              'type' => 'logic',
3790
            },
3791
            'direction' => 'in',
3792
            'hdlType' => 'std_logic',
3793
            'width' => 1,
3794
          },
3795
          'clk' => {
3796
            'attributes' => {
3797
              'domain' => '',
3798
              'group' => 1,
3799
              'isClk' => 1,
3800
              'is_floating_block' => 1,
3801
              'period' => 1,
3802
              'type' => 'logic',
3803
            },
3804
            'direction' => 'in',
3805
            'hdlType' => 'std_logic',
3806
            'width' => 1,
3807
          },
3808
          'clr' => {
3809
            'attributes' => {
3810
              'domain' => '',
3811
              'group' => 1,
3812
              'isClr' => 1,
3813
              'is_floating_block' => 1,
3814
              'period' => 1,
3815
              'type' => 'logic',
3816
              'valid_bit_used' => 0,
3817
            },
3818
            'direction' => 'in',
3819
            'hdlType' => 'std_logic',
3820
            'width' => 1,
3821
          },
3822
          'data_in' => {
3823
            'attributes' => {
3824
              'bin_pt' => 0,
3825
              'is_floating_block' => 1,
3826
              'must_be_hdl_vector' => 1,
3827
              'period' => 1,
3828
              'port_id' => 0,
3829
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/data_in',
3830
              'type' => 'Bool',
3831
            },
3832
            'direction' => 'in',
3833
            'hdlType' => 'std_logic_vector(0 downto 0)',
3834
            'width' => 1,
3835
          },
3836
          'dout' => {
3837
            'attributes' => {
3838
              'bin_pt' => 0,
3839
              'is_floating_block' => 1,
3840
              'must_be_hdl_vector' => 1,
3841
              'period' => 1,
3842
              'port_id' => 0,
3843
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/dout',
3844
              'type' => 'Bool',
3845
            },
3846
            'direction' => 'out',
3847
            'hdlType' => 'std_logic_vector(0 downto 0)',
3848
            'width' => 1,
3849
          },
3850
          'en' => {
3851
            'attributes' => {
3852
              'bin_pt' => 0,
3853
              'is_floating_block' => 1,
3854
              'must_be_hdl_vector' => 1,
3855
              'period' => 1,
3856
              'port_id' => 1,
3857
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/en',
3858
              'type' => 'Bool',
3859
            },
3860
            'direction' => 'in',
3861
            'hdlType' => 'std_logic_vector(0 downto 0)',
3862
            'width' => 1,
3863
          },
3864
        },
3865
      },
3866
      'entityName' => 'x_x35',
3867
    },
3868
    'to_register11' => {
3869
      'connections' => {
3870
        'ce' => 'ce_1_sg_x0',
3871
        'clk' => 'clk_1_sg_x0',
3872
        'clr' => [
3873
          'constant',
3874
          '\'0\'',
3875
        ],
3876
        'data_in' => 'data_in_x2_net',
3877
        'dout' => 'to_register11_dout_net',
3878
        'en' => 'constant6_op_net_x3',
3879
      },
3880
      'entity' => {
3881
        'attributes' => {
3882
          'generics' => [
3883
          ],
3884
          'is_floating_block' => 1,
3885
          'mask' => {
3886
            'Block_Handle' => 2435.00048828125,
3887
            'Block_handle' => 2435.00048828125,
3888
            'MDL_Handle' => 2083.00048828125,
3889
            'MDL_handle' => 2083.00048828125,
3890
            'arith_type' => 1,
3891
            'bin_pt' => 14,
3892
            'block_config' => 'sysgen_blockset:toreg_config',
3893
            'block_handle' => 2435.00048828125,
3894
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11',
3895
            'block_type' => 'toreg',
3896
            'dbl_ovrd' => 0,
3897
            'explicit_data_type' => 0,
3898
            'gui_display_data_type' => 1,
3899
            'init' => 0,
3900
            'init_bit_vector' => '\'b0',
3901
            'mdl_handle' => 2083.00048828125,
3902
            'model_handle' => 2083.00048828125,
3903
            'n_bits' => 16,
3904
            'ownership' => 1,
3905
            'preci_type' => 1,
3906
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3907
            'shared_memory_name' => 'register06rv',
3908
          },
3909
          'needs_vhdl_wrapper' => 0,
3910
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11',
3911
        },
3912
        'entityName' => 'x_x36',
3913
        'ports' => {
3914
          'ce' => {
3915
            'attributes' => {
3916
              'domain' => '',
3917
              'group' => 1,
3918
              'isCe' => 1,
3919
              'is_floating_block' => 1,
3920
              'period' => 1,
3921
              'type' => 'logic',
3922
            },
3923
            'direction' => 'in',
3924
            'hdlType' => 'std_logic',
3925
            'width' => 1,
3926
          },
3927
          'clk' => {
3928
            'attributes' => {
3929
              'domain' => '',
3930
              'group' => 1,
3931
              'isClk' => 1,
3932
              'is_floating_block' => 1,
3933
              'period' => 1,
3934
              'type' => 'logic',
3935
            },
3936
            'direction' => 'in',
3937
            'hdlType' => 'std_logic',
3938
            'width' => 1,
3939
          },
3940
          'clr' => {
3941
            'attributes' => {
3942
              'domain' => '',
3943
              'group' => 1,
3944
              'isClr' => 1,
3945
              'is_floating_block' => 1,
3946
              'period' => 1,
3947
              'type' => 'logic',
3948
              'valid_bit_used' => 0,
3949
            },
3950
            'direction' => 'in',
3951
            'hdlType' => 'std_logic',
3952
            'width' => 1,
3953
          },
3954
          'data_in' => {
3955
            'attributes' => {
3956
              'bin_pt' => 0,
3957
              'is_floating_block' => 1,
3958
              'must_be_hdl_vector' => 1,
3959
              'period' => 1,
3960
              'port_id' => 0,
3961
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/data_in',
3962
              'type' => 'Bool',
3963
            },
3964
            'direction' => 'in',
3965
            'hdlType' => 'std_logic_vector(0 downto 0)',
3966
            'width' => 1,
3967
          },
3968
          'dout' => {
3969
            'attributes' => {
3970
              'bin_pt' => 0,
3971
              'is_floating_block' => 1,
3972
              'must_be_hdl_vector' => 1,
3973
              'period' => 1,
3974
              'port_id' => 0,
3975
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/dout',
3976
              'type' => 'Bool',
3977
            },
3978
            'direction' => 'out',
3979
            'hdlType' => 'std_logic_vector(0 downto 0)',
3980
            'width' => 1,
3981
          },
3982
          'en' => {
3983
            'attributes' => {
3984
              'bin_pt' => 0,
3985
              'is_floating_block' => 1,
3986
              'must_be_hdl_vector' => 1,
3987
              'period' => 1,
3988
              'port_id' => 1,
3989
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/en',
3990
              'type' => 'Bool',
3991
            },
3992
            'direction' => 'in',
3993
            'hdlType' => 'std_logic_vector(0 downto 0)',
3994
            'width' => 1,
3995
          },
3996
        },
3997
      },
3998
      'entityName' => 'x_x36',
3999
    },
4000
    'to_register12' => {
4001
      'connections' => {
4002
        'ce' => 'ce_1_sg_x0',
4003
        'clk' => 'clk_1_sg_x0',
4004
        'clr' => [
4005
          'constant',
4006
          '\'0\'',
4007
        ],
4008
        'data_in' => 'data_in_x3_net',
4009
        'dout' => 'to_register12_dout_net',
4010
        'en' => 'constant6_op_net_x4',
4011
      },
4012
      'entity' => {
4013
        'attributes' => {
4014
          'generics' => [
4015
          ],
4016
          'is_floating_block' => 1,
4017
          'mask' => {
4018
            'Block_Handle' => 2436.00048828125,
4019
            'Block_handle' => 2436.00048828125,
4020
            'MDL_Handle' => 2083.00048828125,
4021
            'MDL_handle' => 2083.00048828125,
4022
            'arith_type' => 1,
4023
            'bin_pt' => 14,
4024
            'block_config' => 'sysgen_blockset:toreg_config',
4025
            'block_handle' => 2436.00048828125,
4026
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12',
4027
            'block_type' => 'toreg',
4028
            'dbl_ovrd' => 0,
4029
            'explicit_data_type' => 0,
4030
            'gui_display_data_type' => 1,
4031
            'init' => 0,
4032
            'init_bit_vector' => '\'b0',
4033
            'mdl_handle' => 2083.00048828125,
4034
            'model_handle' => 2083.00048828125,
4035
            'n_bits' => 16,
4036
            'ownership' => 1,
4037
            'preci_type' => 1,
4038
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4039
            'shared_memory_name' => 'register07rv',
4040
          },
4041
          'needs_vhdl_wrapper' => 0,
4042
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12',
4043
        },
4044
        'entityName' => 'x_x37',
4045
        'ports' => {
4046
          'ce' => {
4047
            'attributes' => {
4048
              'domain' => '',
4049
              'group' => 1,
4050
              'isCe' => 1,
4051
              'is_floating_block' => 1,
4052
              'period' => 1,
4053
              'type' => 'logic',
4054
            },
4055
            'direction' => 'in',
4056
            'hdlType' => 'std_logic',
4057
            'width' => 1,
4058
          },
4059
          'clk' => {
4060
            'attributes' => {
4061
              'domain' => '',
4062
              'group' => 1,
4063
              'isClk' => 1,
4064
              'is_floating_block' => 1,
4065
              'period' => 1,
4066
              'type' => 'logic',
4067
            },
4068
            'direction' => 'in',
4069
            'hdlType' => 'std_logic',
4070
            'width' => 1,
4071
          },
4072
          'clr' => {
4073
            'attributes' => {
4074
              'domain' => '',
4075
              'group' => 1,
4076
              'isClr' => 1,
4077
              'is_floating_block' => 1,
4078
              'period' => 1,
4079
              'type' => 'logic',
4080
              'valid_bit_used' => 0,
4081
            },
4082
            'direction' => 'in',
4083
            'hdlType' => 'std_logic',
4084
            'width' => 1,
4085
          },
4086
          'data_in' => {
4087
            'attributes' => {
4088
              'bin_pt' => 0,
4089
              'is_floating_block' => 1,
4090
              'must_be_hdl_vector' => 1,
4091
              'period' => 1,
4092
              'port_id' => 0,
4093
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/data_in',
4094
              'type' => 'Bool',
4095
            },
4096
            'direction' => 'in',
4097
            'hdlType' => 'std_logic_vector(0 downto 0)',
4098
            'width' => 1,
4099
          },
4100
          'dout' => {
4101
            'attributes' => {
4102
              'bin_pt' => 0,
4103
              'is_floating_block' => 1,
4104
              'must_be_hdl_vector' => 1,
4105
              'period' => 1,
4106
              'port_id' => 0,
4107
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/dout',
4108
              'type' => 'Bool',
4109
            },
4110
            'direction' => 'out',
4111
            'hdlType' => 'std_logic_vector(0 downto 0)',
4112
            'width' => 1,
4113
          },
4114
          'en' => {
4115
            'attributes' => {
4116
              'bin_pt' => 0,
4117
              'is_floating_block' => 1,
4118
              'must_be_hdl_vector' => 1,
4119
              'period' => 1,
4120
              'port_id' => 1,
4121
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/en',
4122
              'type' => 'Bool',
4123
            },
4124
            'direction' => 'in',
4125
            'hdlType' => 'std_logic_vector(0 downto 0)',
4126
            'width' => 1,
4127
          },
4128
        },
4129
      },
4130
      'entityName' => 'x_x37',
4131
    },
4132
    'to_register13' => {
4133
      'connections' => {
4134
        'ce' => 'ce_1_sg_x0',
4135
        'clk' => 'clk_1_sg_x0',
4136
        'clr' => [
4137
          'constant',
4138
          '\'0\'',
4139
        ],
4140
        'data_in' => 'data_in_x4_net',
4141
        'dout' => 'to_register13_dout_net',
4142
        'en' => 'constant6_op_net_x5',
4143
      },
4144
      'entity' => {
4145
        'attributes' => {
4146
          'generics' => [
4147
          ],
4148
          'is_floating_block' => 1,
4149
          'mask' => {
4150
            'Block_Handle' => 2437.00048828125,
4151
            'Block_handle' => 2437.00048828125,
4152
            'MDL_Handle' => 2083.00048828125,
4153
            'MDL_handle' => 2083.00048828125,
4154
            'arith_type' => 1,
4155
            'bin_pt' => 14,
4156
            'block_config' => 'sysgen_blockset:toreg_config',
4157
            'block_handle' => 2437.00048828125,
4158
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13',
4159
            'block_type' => 'toreg',
4160
            'dbl_ovrd' => 0,
4161
            'explicit_data_type' => 0,
4162
            'gui_display_data_type' => 1,
4163
            'init' => 0,
4164
            'init_bit_vector' => '\'b00000000000000000000000000000000',
4165
            'mdl_handle' => 2083.00048828125,
4166
            'model_handle' => 2083.00048828125,
4167
            'n_bits' => 16,
4168
            'ownership' => 1,
4169
            'preci_type' => 1,
4170
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4171
            'shared_memory_name' => 'register07rd',
4172
          },
4173
          'needs_vhdl_wrapper' => 0,
4174
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13',
4175
        },
4176
        'entityName' => 'x_x38',
4177
        'ports' => {
4178
          'ce' => {
4179
            'attributes' => {
4180
              'domain' => '',
4181
              'group' => 1,
4182
              'isCe' => 1,
4183
              'is_floating_block' => 1,
4184
              'period' => 1,
4185
              'type' => 'logic',
4186
            },
4187
            'direction' => 'in',
4188
            'hdlType' => 'std_logic',
4189
            'width' => 1,
4190
          },
4191
          'clk' => {
4192
            'attributes' => {
4193
              'domain' => '',
4194
              'group' => 1,
4195
              'isClk' => 1,
4196
              'is_floating_block' => 1,
4197
              'period' => 1,
4198
              'type' => 'logic',
4199
            },
4200
            'direction' => 'in',
4201
            'hdlType' => 'std_logic',
4202
            'width' => 1,
4203
          },
4204
          'clr' => {
4205
            'attributes' => {
4206
              'domain' => '',
4207
              'group' => 1,
4208
              'isClr' => 1,
4209
              'is_floating_block' => 1,
4210
              'period' => 1,
4211
              'type' => 'logic',
4212
              'valid_bit_used' => 0,
4213
            },
4214
            'direction' => 'in',
4215
            'hdlType' => 'std_logic',
4216
            'width' => 1,
4217
          },
4218
          'data_in' => {
4219
            'attributes' => {
4220
              'bin_pt' => 0,
4221
              'is_floating_block' => 1,
4222
              'must_be_hdl_vector' => 1,
4223
              'period' => 1,
4224
              'port_id' => 0,
4225
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/data_in',
4226
              'type' => 'UFix_32_0',
4227
            },
4228
            'direction' => 'in',
4229
            'hdlType' => 'std_logic_vector(31 downto 0)',
4230
            'width' => 32,
4231
          },
4232
          'dout' => {
4233
            'attributes' => {
4234
              'bin_pt' => 0,
4235
              'is_floating_block' => 1,
4236
              'must_be_hdl_vector' => 1,
4237
              'period' => 1,
4238
              'port_id' => 0,
4239
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/dout',
4240
              'type' => 'UFix_32_0',
4241
            },
4242
            'direction' => 'out',
4243
            'hdlType' => 'std_logic_vector(31 downto 0)',
4244
            'width' => 32,
4245
          },
4246
          'en' => {
4247
            'attributes' => {
4248
              'bin_pt' => 0,
4249
              'is_floating_block' => 1,
4250
              'must_be_hdl_vector' => 1,
4251
              'period' => 1,
4252
              'port_id' => 1,
4253
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/en',
4254
              'type' => 'Bool',
4255
            },
4256
            'direction' => 'in',
4257
            'hdlType' => 'std_logic_vector(0 downto 0)',
4258
            'width' => 1,
4259
          },
4260
        },
4261
      },
4262
      'entityName' => 'x_x38',
4263
    },
4264
    'to_register14' => {
4265
      'connections' => {
4266
        'ce' => 'ce_1_sg_x0',
4267
        'clk' => 'clk_1_sg_x0',
4268
        'clr' => [
4269
          'constant',
4270
          '\'0\'',
4271
        ],
4272
        'data_in' => 'data_in_x5_net',
4273
        'dout' => 'to_register14_dout_net',
4274
        'en' => 'constant6_op_net_x6',
4275
      },
4276
      'entity' => {
4277
        'attributes' => {
4278
          'generics' => [
4279
          ],
4280
          'is_floating_block' => 1,
4281
          'mask' => {
4282
            'Block_Handle' => 2438.00048828125,
4283
            'Block_handle' => 2438.00048828125,
4284
            'MDL_Handle' => 2083.00048828125,
4285
            'MDL_handle' => 2083.00048828125,
4286
            'arith_type' => 1,
4287
            'bin_pt' => 14,
4288
            'block_config' => 'sysgen_blockset:toreg_config',
4289
            'block_handle' => 2438.00048828125,
4290
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14',
4291
            'block_type' => 'toreg',
4292
            'dbl_ovrd' => 0,
4293
            'explicit_data_type' => 0,
4294
            'gui_display_data_type' => 1,
4295
            'init' => 0,
4296
            'init_bit_vector' => '\'b0',
4297
            'mdl_handle' => 2083.00048828125,
4298
            'model_handle' => 2083.00048828125,
4299
            'n_bits' => 16,
4300
            'ownership' => 1,
4301
            'preci_type' => 1,
4302
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4303
            'shared_memory_name' => 'register08rv',
4304
          },
4305
          'needs_vhdl_wrapper' => 0,
4306
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14',
4307
        },
4308
        'entityName' => 'x_x39',
4309
        'ports' => {
4310
          'ce' => {
4311
            'attributes' => {
4312
              'domain' => '',
4313
              'group' => 1,
4314
              'isCe' => 1,
4315
              'is_floating_block' => 1,
4316
              'period' => 1,
4317
              'type' => 'logic',
4318
            },
4319
            'direction' => 'in',
4320
            'hdlType' => 'std_logic',
4321
            'width' => 1,
4322
          },
4323
          'clk' => {
4324
            'attributes' => {
4325
              'domain' => '',
4326
              'group' => 1,
4327
              'isClk' => 1,
4328
              'is_floating_block' => 1,
4329
              'period' => 1,
4330
              'type' => 'logic',
4331
            },
4332
            'direction' => 'in',
4333
            'hdlType' => 'std_logic',
4334
            'width' => 1,
4335
          },
4336
          'clr' => {
4337
            'attributes' => {
4338
              'domain' => '',
4339
              'group' => 1,
4340
              'isClr' => 1,
4341
              'is_floating_block' => 1,
4342
              'period' => 1,
4343
              'type' => 'logic',
4344
              'valid_bit_used' => 0,
4345
            },
4346
            'direction' => 'in',
4347
            'hdlType' => 'std_logic',
4348
            'width' => 1,
4349
          },
4350
          'data_in' => {
4351
            'attributes' => {
4352
              'bin_pt' => 0,
4353
              'is_floating_block' => 1,
4354
              'must_be_hdl_vector' => 1,
4355
              'period' => 1,
4356
              'port_id' => 0,
4357
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/data_in',
4358
              'type' => 'Bool',
4359
            },
4360
            'direction' => 'in',
4361
            'hdlType' => 'std_logic_vector(0 downto 0)',
4362
            'width' => 1,
4363
          },
4364
          'dout' => {
4365
            'attributes' => {
4366
              'bin_pt' => 0,
4367
              'is_floating_block' => 1,
4368
              'must_be_hdl_vector' => 1,
4369
              'period' => 1,
4370
              'port_id' => 0,
4371
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/dout',
4372
              'type' => 'Bool',
4373
            },
4374
            'direction' => 'out',
4375
            'hdlType' => 'std_logic_vector(0 downto 0)',
4376
            'width' => 1,
4377
          },
4378
          'en' => {
4379
            'attributes' => {
4380
              'bin_pt' => 0,
4381
              'is_floating_block' => 1,
4382
              'must_be_hdl_vector' => 1,
4383
              'period' => 1,
4384
              'port_id' => 1,
4385
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/en',
4386
              'type' => 'Bool',
4387
            },
4388
            'direction' => 'in',
4389
            'hdlType' => 'std_logic_vector(0 downto 0)',
4390
            'width' => 1,
4391
          },
4392
        },
4393
      },
4394
      'entityName' => 'x_x39',
4395
    },
4396
    'to_register15' => {
4397
      'connections' => {
4398
        'ce' => 'ce_1_sg_x0',
4399
        'clk' => 'clk_1_sg_x0',
4400
        'clr' => [
4401
          'constant',
4402
          '\'0\'',
4403
        ],
4404
        'data_in' => 'data_in_x6_net',
4405
        'dout' => 'to_register15_dout_net',
4406
        'en' => 'constant6_op_net_x7',
4407
      },
4408
      'entity' => {
4409
        'attributes' => {
4410
          'generics' => [
4411
          ],
4412
          'is_floating_block' => 1,
4413
          'mask' => {
4414
            'Block_Handle' => 2439.00048828125,
4415
            'Block_handle' => 2439.00048828125,
4416
            'MDL_Handle' => 2083.00048828125,
4417
            'MDL_handle' => 2083.00048828125,
4418
            'arith_type' => 1,
4419
            'bin_pt' => 14,
4420
            'block_config' => 'sysgen_blockset:toreg_config',
4421
            'block_handle' => 2439.00048828125,
4422
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15',
4423
            'block_type' => 'toreg',
4424
            'dbl_ovrd' => 0,
4425
            'explicit_data_type' => 0,
4426
            'gui_display_data_type' => 1,
4427
            'init' => 0,
4428
            'init_bit_vector' => '\'b00000000000000000000000000000000',
4429
            'mdl_handle' => 2083.00048828125,
4430
            'model_handle' => 2083.00048828125,
4431
            'n_bits' => 16,
4432
            'ownership' => 1,
4433
            'preci_type' => 1,
4434
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4435
            'shared_memory_name' => 'register08rd',
4436
          },
4437
          'needs_vhdl_wrapper' => 0,
4438
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15',
4439
        },
4440
        'entityName' => 'x_x40',
4441
        'ports' => {
4442
          'ce' => {
4443
            'attributes' => {
4444
              'domain' => '',
4445
              'group' => 1,
4446
              'isCe' => 1,
4447
              'is_floating_block' => 1,
4448
              'period' => 1,
4449
              'type' => 'logic',
4450
            },
4451
            'direction' => 'in',
4452
            'hdlType' => 'std_logic',
4453
            'width' => 1,
4454
          },
4455
          'clk' => {
4456
            'attributes' => {
4457
              'domain' => '',
4458
              'group' => 1,
4459
              'isClk' => 1,
4460
              'is_floating_block' => 1,
4461
              'period' => 1,
4462
              'type' => 'logic',
4463
            },
4464
            'direction' => 'in',
4465
            'hdlType' => 'std_logic',
4466
            'width' => 1,
4467
          },
4468
          'clr' => {
4469
            'attributes' => {
4470
              'domain' => '',
4471
              'group' => 1,
4472
              'isClr' => 1,
4473
              'is_floating_block' => 1,
4474
              'period' => 1,
4475
              'type' => 'logic',
4476
              'valid_bit_used' => 0,
4477
            },
4478
            'direction' => 'in',
4479
            'hdlType' => 'std_logic',
4480
            'width' => 1,
4481
          },
4482
          'data_in' => {
4483
            'attributes' => {
4484
              'bin_pt' => 0,
4485
              'is_floating_block' => 1,
4486
              'must_be_hdl_vector' => 1,
4487
              'period' => 1,
4488
              'port_id' => 0,
4489
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/data_in',
4490
              'type' => 'UFix_32_0',
4491
            },
4492
            'direction' => 'in',
4493
            'hdlType' => 'std_logic_vector(31 downto 0)',
4494
            'width' => 32,
4495
          },
4496
          'dout' => {
4497
            'attributes' => {
4498
              'bin_pt' => 0,
4499
              'is_floating_block' => 1,
4500
              'must_be_hdl_vector' => 1,
4501
              'period' => 1,
4502
              'port_id' => 0,
4503
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/dout',
4504
              'type' => 'UFix_32_0',
4505
            },
4506
            'direction' => 'out',
4507
            'hdlType' => 'std_logic_vector(31 downto 0)',
4508
            'width' => 32,
4509
          },
4510
          'en' => {
4511
            'attributes' => {
4512
              'bin_pt' => 0,
4513
              'is_floating_block' => 1,
4514
              'must_be_hdl_vector' => 1,
4515
              'period' => 1,
4516
              'port_id' => 1,
4517
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/en',
4518
              'type' => 'Bool',
4519
            },
4520
            'direction' => 'in',
4521
            'hdlType' => 'std_logic_vector(0 downto 0)',
4522
            'width' => 1,
4523
          },
4524
        },
4525
      },
4526
      'entityName' => 'x_x40',
4527
    },
4528
    'to_register16' => {
4529
      'connections' => {
4530
        'ce' => 'ce_1_sg_x0',
4531
        'clk' => 'clk_1_sg_x0',
4532
        'clr' => [
4533
          'constant',
4534
          '\'0\'',
4535
        ],
4536
        'data_in' => 'data_in_x7_net',
4537
        'dout' => 'to_register16_dout_net',
4538
        'en' => 'constant6_op_net_x8',
4539
      },
4540
      'entity' => {
4541
        'attributes' => {
4542
          'generics' => [
4543
          ],
4544
          'is_floating_block' => 1,
4545
          'mask' => {
4546
            'Block_Handle' => 2440.00048828125,
4547
            'Block_handle' => 2440.00048828125,
4548
            'MDL_Handle' => 2083.00048828125,
4549
            'MDL_handle' => 2083.00048828125,
4550
            'arith_type' => 1,
4551
            'bin_pt' => 14,
4552
            'block_config' => 'sysgen_blockset:toreg_config',
4553
            'block_handle' => 2440.00048828125,
4554
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16',
4555
            'block_type' => 'toreg',
4556
            'dbl_ovrd' => 0,
4557
            'explicit_data_type' => 0,
4558
            'gui_display_data_type' => 1,
4559
            'init' => 0,
4560
            'init_bit_vector' => '\'b0',
4561
            'mdl_handle' => 2083.00048828125,
4562
            'model_handle' => 2083.00048828125,
4563
            'n_bits' => 16,
4564
            'ownership' => 1,
4565
            'preci_type' => 1,
4566
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4567
            'shared_memory_name' => 'register09rv',
4568
          },
4569
          'needs_vhdl_wrapper' => 0,
4570
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16',
4571
        },
4572
        'entityName' => 'x_x41',
4573
        'ports' => {
4574
          'ce' => {
4575
            'attributes' => {
4576
              'domain' => '',
4577
              'group' => 1,
4578
              'isCe' => 1,
4579
              'is_floating_block' => 1,
4580
              'period' => 1,
4581
              'type' => 'logic',
4582
            },
4583
            'direction' => 'in',
4584
            'hdlType' => 'std_logic',
4585
            'width' => 1,
4586
          },
4587
          'clk' => {
4588
            'attributes' => {
4589
              'domain' => '',
4590
              'group' => 1,
4591
              'isClk' => 1,
4592
              'is_floating_block' => 1,
4593
              'period' => 1,
4594
              'type' => 'logic',
4595
            },
4596
            'direction' => 'in',
4597
            'hdlType' => 'std_logic',
4598
            'width' => 1,
4599
          },
4600
          'clr' => {
4601
            'attributes' => {
4602
              'domain' => '',
4603
              'group' => 1,
4604
              'isClr' => 1,
4605
              'is_floating_block' => 1,
4606
              'period' => 1,
4607
              'type' => 'logic',
4608
              'valid_bit_used' => 0,
4609
            },
4610
            'direction' => 'in',
4611
            'hdlType' => 'std_logic',
4612
            'width' => 1,
4613
          },
4614
          'data_in' => {
4615
            'attributes' => {
4616
              'bin_pt' => 0,
4617
              'is_floating_block' => 1,
4618
              'must_be_hdl_vector' => 1,
4619
              'period' => 1,
4620
              'port_id' => 0,
4621
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/data_in',
4622
              'type' => 'Bool',
4623
            },
4624
            'direction' => 'in',
4625
            'hdlType' => 'std_logic_vector(0 downto 0)',
4626
            'width' => 1,
4627
          },
4628
          'dout' => {
4629
            'attributes' => {
4630
              'bin_pt' => 0,
4631
              'is_floating_block' => 1,
4632
              'must_be_hdl_vector' => 1,
4633
              'period' => 1,
4634
              'port_id' => 0,
4635
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/dout',
4636
              'type' => 'Bool',
4637
            },
4638
            'direction' => 'out',
4639
            'hdlType' => 'std_logic_vector(0 downto 0)',
4640
            'width' => 1,
4641
          },
4642
          'en' => {
4643
            'attributes' => {
4644
              'bin_pt' => 0,
4645
              'is_floating_block' => 1,
4646
              'must_be_hdl_vector' => 1,
4647
              'period' => 1,
4648
              'port_id' => 1,
4649
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/en',
4650
              'type' => 'Bool',
4651
            },
4652
            'direction' => 'in',
4653
            'hdlType' => 'std_logic_vector(0 downto 0)',
4654
            'width' => 1,
4655
          },
4656
        },
4657
      },
4658
      'entityName' => 'x_x41',
4659
    },
4660
    'to_register17' => {
4661
      'connections' => {
4662
        'ce' => 'ce_1_sg_x0',
4663
        'clk' => 'clk_1_sg_x0',
4664
        'clr' => [
4665
          'constant',
4666
          '\'0\'',
4667
        ],
4668
        'data_in' => 'data_in_x8_net',
4669
        'dout' => 'to_register17_dout_net',
4670
        'en' => 'constant6_op_net_x9',
4671
      },
4672
      'entity' => {
4673
        'attributes' => {
4674
          'generics' => [
4675
          ],
4676
          'is_floating_block' => 1,
4677
          'mask' => {
4678
            'Block_Handle' => 2441.00048828125,
4679
            'Block_handle' => 2441.00048828125,
4680
            'MDL_Handle' => 2083.00048828125,
4681
            'MDL_handle' => 2083.00048828125,
4682
            'arith_type' => 1,
4683
            'bin_pt' => 14,
4684
            'block_config' => 'sysgen_blockset:toreg_config',
4685
            'block_handle' => 2441.00048828125,
4686
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17',
4687
            'block_type' => 'toreg',
4688
            'dbl_ovrd' => 0,
4689
            'explicit_data_type' => 0,
4690
            'gui_display_data_type' => 1,
4691
            'init' => 0,
4692
            'init_bit_vector' => '\'b00000000000000000000000000000000',
4693
            'mdl_handle' => 2083.00048828125,
4694
            'model_handle' => 2083.00048828125,
4695
            'n_bits' => 16,
4696
            'ownership' => 1,
4697
            'preci_type' => 1,
4698
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4699
            'shared_memory_name' => 'register09rd',
4700
          },
4701
          'needs_vhdl_wrapper' => 0,
4702
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17',
4703
        },
4704
        'entityName' => 'x_x42',
4705
        'ports' => {
4706
          'ce' => {
4707
            'attributes' => {
4708
              'domain' => '',
4709
              'group' => 1,
4710
              'isCe' => 1,
4711
              'is_floating_block' => 1,
4712
              'period' => 1,
4713
              'type' => 'logic',
4714
            },
4715
            'direction' => 'in',
4716
            'hdlType' => 'std_logic',
4717
            'width' => 1,
4718
          },
4719
          'clk' => {
4720
            'attributes' => {
4721
              'domain' => '',
4722
              'group' => 1,
4723
              'isClk' => 1,
4724
              'is_floating_block' => 1,
4725
              'period' => 1,
4726
              'type' => 'logic',
4727
            },
4728
            'direction' => 'in',
4729
            'hdlType' => 'std_logic',
4730
            'width' => 1,
4731
          },
4732
          'clr' => {
4733
            'attributes' => {
4734
              'domain' => '',
4735
              'group' => 1,
4736
              'isClr' => 1,
4737
              'is_floating_block' => 1,
4738
              'period' => 1,
4739
              'type' => 'logic',
4740
              'valid_bit_used' => 0,
4741
            },
4742
            'direction' => 'in',
4743
            'hdlType' => 'std_logic',
4744
            'width' => 1,
4745
          },
4746
          'data_in' => {
4747
            'attributes' => {
4748
              'bin_pt' => 0,
4749
              'is_floating_block' => 1,
4750
              'must_be_hdl_vector' => 1,
4751
              'period' => 1,
4752
              'port_id' => 0,
4753
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/data_in',
4754
              'type' => 'UFix_32_0',
4755
            },
4756
            'direction' => 'in',
4757
            'hdlType' => 'std_logic_vector(31 downto 0)',
4758
            'width' => 32,
4759
          },
4760
          'dout' => {
4761
            'attributes' => {
4762
              'bin_pt' => 0,
4763
              'is_floating_block' => 1,
4764
              'must_be_hdl_vector' => 1,
4765
              'period' => 1,
4766
              'port_id' => 0,
4767
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/dout',
4768
              'type' => 'UFix_32_0',
4769
            },
4770
            'direction' => 'out',
4771
            'hdlType' => 'std_logic_vector(31 downto 0)',
4772
            'width' => 32,
4773
          },
4774
          'en' => {
4775
            'attributes' => {
4776
              'bin_pt' => 0,
4777
              'is_floating_block' => 1,
4778
              'must_be_hdl_vector' => 1,
4779
              'period' => 1,
4780
              'port_id' => 1,
4781
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/en',
4782
              'type' => 'Bool',
4783
            },
4784
            'direction' => 'in',
4785
            'hdlType' => 'std_logic_vector(0 downto 0)',
4786
            'width' => 1,
4787
          },
4788
        },
4789
      },
4790
      'entityName' => 'x_x42',
4791
    },
4792
    'to_register18' => {
4793
      'connections' => {
4794
        'ce' => 'ce_1_sg_x0',
4795
        'clk' => 'clk_1_sg_x0',
4796
        'clr' => [
4797
          'constant',
4798
          '\'0\'',
4799
        ],
4800
        'data_in' => 'data_in_x9_net',
4801
        'dout' => 'to_register18_dout_net',
4802
        'en' => 'constant6_op_net_x10',
4803
      },
4804
      'entity' => {
4805
        'attributes' => {
4806
          'generics' => [
4807
          ],
4808
          'is_floating_block' => 1,
4809
          'mask' => {
4810
            'Block_Handle' => 2442.00048828125,
4811
            'Block_handle' => 2442.00048828125,
4812
            'MDL_Handle' => 2083.00048828125,
4813
            'MDL_handle' => 2083.00048828125,
4814
            'arith_type' => 1,
4815
            'bin_pt' => 14,
4816
            'block_config' => 'sysgen_blockset:toreg_config',
4817
            'block_handle' => 2442.00048828125,
4818
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18',
4819
            'block_type' => 'toreg',
4820
            'dbl_ovrd' => 0,
4821
            'explicit_data_type' => 0,
4822
            'gui_display_data_type' => 1,
4823
            'init' => 0,
4824
            'init_bit_vector' => '\'b0',
4825
            'mdl_handle' => 2083.00048828125,
4826
            'model_handle' => 2083.00048828125,
4827
            'n_bits' => 16,
4828
            'ownership' => 1,
4829
            'preci_type' => 1,
4830
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4831
            'shared_memory_name' => 'register10rv',
4832
          },
4833
          'needs_vhdl_wrapper' => 0,
4834
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18',
4835
        },
4836
        'entityName' => 'x_x43',
4837
        'ports' => {
4838
          'ce' => {
4839
            'attributes' => {
4840
              'domain' => '',
4841
              'group' => 1,
4842
              'isCe' => 1,
4843
              'is_floating_block' => 1,
4844
              'period' => 1,
4845
              'type' => 'logic',
4846
            },
4847
            'direction' => 'in',
4848
            'hdlType' => 'std_logic',
4849
            'width' => 1,
4850
          },
4851
          'clk' => {
4852
            'attributes' => {
4853
              'domain' => '',
4854
              'group' => 1,
4855
              'isClk' => 1,
4856
              'is_floating_block' => 1,
4857
              'period' => 1,
4858
              'type' => 'logic',
4859
            },
4860
            'direction' => 'in',
4861
            'hdlType' => 'std_logic',
4862
            'width' => 1,
4863
          },
4864
          'clr' => {
4865
            'attributes' => {
4866
              'domain' => '',
4867
              'group' => 1,
4868
              'isClr' => 1,
4869
              'is_floating_block' => 1,
4870
              'period' => 1,
4871
              'type' => 'logic',
4872
              'valid_bit_used' => 0,
4873
            },
4874
            'direction' => 'in',
4875
            'hdlType' => 'std_logic',
4876
            'width' => 1,
4877
          },
4878
          'data_in' => {
4879
            'attributes' => {
4880
              'bin_pt' => 0,
4881
              'is_floating_block' => 1,
4882
              'must_be_hdl_vector' => 1,
4883
              'period' => 1,
4884
              'port_id' => 0,
4885
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/data_in',
4886
              'type' => 'Bool',
4887
            },
4888
            'direction' => 'in',
4889
            'hdlType' => 'std_logic_vector(0 downto 0)',
4890
            'width' => 1,
4891
          },
4892
          'dout' => {
4893
            'attributes' => {
4894
              'bin_pt' => 0,
4895
              'is_floating_block' => 1,
4896
              'must_be_hdl_vector' => 1,
4897
              'period' => 1,
4898
              'port_id' => 0,
4899
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/dout',
4900
              'type' => 'Bool',
4901
            },
4902
            'direction' => 'out',
4903
            'hdlType' => 'std_logic_vector(0 downto 0)',
4904
            'width' => 1,
4905
          },
4906
          'en' => {
4907
            'attributes' => {
4908
              'bin_pt' => 0,
4909
              'is_floating_block' => 1,
4910
              'must_be_hdl_vector' => 1,
4911
              'period' => 1,
4912
              'port_id' => 1,
4913
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/en',
4914
              'type' => 'Bool',
4915
            },
4916
            'direction' => 'in',
4917
            'hdlType' => 'std_logic_vector(0 downto 0)',
4918
            'width' => 1,
4919
          },
4920
        },
4921
      },
4922
      'entityName' => 'x_x43',
4923
    },
4924
    'to_register19' => {
4925
      'connections' => {
4926
        'ce' => 'ce_1_sg_x0',
4927
        'clk' => 'clk_1_sg_x0',
4928
        'clr' => [
4929
          'constant',
4930
          '\'0\'',
4931
        ],
4932
        'data_in' => 'data_in_x10_net',
4933
        'dout' => 'to_register19_dout_net',
4934
        'en' => 'constant6_op_net_x11',
4935
      },
4936
      'entity' => {
4937
        'attributes' => {
4938
          'generics' => [
4939
          ],
4940
          'is_floating_block' => 1,
4941
          'mask' => {
4942
            'Block_Handle' => 2443.00048828125,
4943
            'Block_handle' => 2443.00048828125,
4944
            'MDL_Handle' => 2083.00048828125,
4945
            'MDL_handle' => 2083.00048828125,
4946
            'arith_type' => 1,
4947
            'bin_pt' => 14,
4948
            'block_config' => 'sysgen_blockset:toreg_config',
4949
            'block_handle' => 2443.00048828125,
4950
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19',
4951
            'block_type' => 'toreg',
4952
            'dbl_ovrd' => 0,
4953
            'explicit_data_type' => 0,
4954
            'gui_display_data_type' => 1,
4955
            'init' => 0,
4956
            'init_bit_vector' => '\'b00000000000000000000000000000000',
4957
            'mdl_handle' => 2083.00048828125,
4958
            'model_handle' => 2083.00048828125,
4959
            'n_bits' => 16,
4960
            'ownership' => 1,
4961
            'preci_type' => 1,
4962
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4963
            'shared_memory_name' => 'register10rd',
4964
          },
4965
          'needs_vhdl_wrapper' => 0,
4966
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19',
4967
        },
4968
        'entityName' => 'x_x44',
4969
        'ports' => {
4970
          'ce' => {
4971
            'attributes' => {
4972
              'domain' => '',
4973
              'group' => 1,
4974
              'isCe' => 1,
4975
              'is_floating_block' => 1,
4976
              'period' => 1,
4977
              'type' => 'logic',
4978
            },
4979
            'direction' => 'in',
4980
            'hdlType' => 'std_logic',
4981
            'width' => 1,
4982
          },
4983
          'clk' => {
4984
            'attributes' => {
4985
              'domain' => '',
4986
              'group' => 1,
4987
              'isClk' => 1,
4988
              'is_floating_block' => 1,
4989
              'period' => 1,
4990
              'type' => 'logic',
4991
            },
4992
            'direction' => 'in',
4993
            'hdlType' => 'std_logic',
4994
            'width' => 1,
4995
          },
4996
          'clr' => {
4997
            'attributes' => {
4998
              'domain' => '',
4999
              'group' => 1,
5000
              'isClr' => 1,
5001
              'is_floating_block' => 1,
5002
              'period' => 1,
5003
              'type' => 'logic',
5004
              'valid_bit_used' => 0,
5005
            },
5006
            'direction' => 'in',
5007
            'hdlType' => 'std_logic',
5008
            'width' => 1,
5009
          },
5010
          'data_in' => {
5011
            'attributes' => {
5012
              'bin_pt' => 0,
5013
              'is_floating_block' => 1,
5014
              'must_be_hdl_vector' => 1,
5015
              'period' => 1,
5016
              'port_id' => 0,
5017
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/data_in',
5018
              'type' => 'UFix_32_0',
5019
            },
5020
            'direction' => 'in',
5021
            'hdlType' => 'std_logic_vector(31 downto 0)',
5022
            'width' => 32,
5023
          },
5024
          'dout' => {
5025
            'attributes' => {
5026
              'bin_pt' => 0,
5027
              'is_floating_block' => 1,
5028
              'must_be_hdl_vector' => 1,
5029
              'period' => 1,
5030
              'port_id' => 0,
5031
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/dout',
5032
              'type' => 'UFix_32_0',
5033
            },
5034
            'direction' => 'out',
5035
            'hdlType' => 'std_logic_vector(31 downto 0)',
5036
            'width' => 32,
5037
          },
5038
          'en' => {
5039
            'attributes' => {
5040
              'bin_pt' => 0,
5041
              'is_floating_block' => 1,
5042
              'must_be_hdl_vector' => 1,
5043
              'period' => 1,
5044
              'port_id' => 1,
5045
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/en',
5046
              'type' => 'Bool',
5047
            },
5048
            'direction' => 'in',
5049
            'hdlType' => 'std_logic_vector(0 downto 0)',
5050
            'width' => 1,
5051
          },
5052
        },
5053
      },
5054
      'entityName' => 'x_x44',
5055
    },
5056
    'to_register2' => {
5057
      'connections' => {
5058
        'ce' => 'ce_1_sg_x0',
5059
        'clk' => 'clk_1_sg_x0',
5060
        'clr' => [
5061
          'constant',
5062
          '\'0\'',
5063
        ],
5064
        'data_in' => 'data_in_x11_net',
5065
        'dout' => 'to_register2_dout_net',
5066
        'en' => 'constant6_op_net_x12',
5067
      },
5068
      'entity' => {
5069
        'attributes' => {
5070
          'generics' => [
5071
          ],
5072
          'is_floating_block' => 1,
5073
          'mask' => {
5074
            'Block_Handle' => 2444.00048828125,
5075
            'Block_handle' => 2444.00048828125,
5076
            'MDL_Handle' => 2083.00048828125,
5077
            'MDL_handle' => 2083.00048828125,
5078
            'arith_type' => 1,
5079
            'bin_pt' => 14,
5080
            'block_config' => 'sysgen_blockset:toreg_config',
5081
            'block_handle' => 2444.00048828125,
5082
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2',
5083
            'block_type' => 'toreg',
5084
            'dbl_ovrd' => 0,
5085
            'explicit_data_type' => 0,
5086
            'gui_display_data_type' => 1,
5087
            'init' => 0,
5088
            'init_bit_vector' => '\'b00000000000000000000000000000000',
5089
            'mdl_handle' => 2083.00048828125,
5090
            'model_handle' => 2083.00048828125,
5091
            'n_bits' => 16,
5092
            'ownership' => 1,
5093
            'preci_type' => 1,
5094
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5095
            'shared_memory_name' => 'register02rd',
5096
          },
5097
          'needs_vhdl_wrapper' => 0,
5098
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2',
5099
        },
5100
        'entityName' => 'x_x45',
5101
        'ports' => {
5102
          'ce' => {
5103
            'attributes' => {
5104
              'domain' => '',
5105
              'group' => 1,
5106
              'isCe' => 1,
5107
              'is_floating_block' => 1,
5108
              'period' => 1,
5109
              'type' => 'logic',
5110
            },
5111
            'direction' => 'in',
5112
            'hdlType' => 'std_logic',
5113
            'width' => 1,
5114
          },
5115
          'clk' => {
5116
            'attributes' => {
5117
              'domain' => '',
5118
              'group' => 1,
5119
              'isClk' => 1,
5120
              'is_floating_block' => 1,
5121
              'period' => 1,
5122
              'type' => 'logic',
5123
            },
5124
            'direction' => 'in',
5125
            'hdlType' => 'std_logic',
5126
            'width' => 1,
5127
          },
5128
          'clr' => {
5129
            'attributes' => {
5130
              'domain' => '',
5131
              'group' => 1,
5132
              'isClr' => 1,
5133
              'is_floating_block' => 1,
5134
              'period' => 1,
5135
              'type' => 'logic',
5136
              'valid_bit_used' => 0,
5137
            },
5138
            'direction' => 'in',
5139
            'hdlType' => 'std_logic',
5140
            'width' => 1,
5141
          },
5142
          'data_in' => {
5143
            'attributes' => {
5144
              'bin_pt' => 0,
5145
              'is_floating_block' => 1,
5146
              'must_be_hdl_vector' => 1,
5147
              'period' => 1,
5148
              'port_id' => 0,
5149
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/data_in',
5150
              'type' => 'UFix_32_0',
5151
            },
5152
            'direction' => 'in',
5153
            'hdlType' => 'std_logic_vector(31 downto 0)',
5154
            'width' => 32,
5155
          },
5156
          'dout' => {
5157
            'attributes' => {
5158
              'bin_pt' => 0,
5159
              'is_floating_block' => 1,
5160
              'must_be_hdl_vector' => 1,
5161
              'period' => 1,
5162
              'port_id' => 0,
5163
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/dout',
5164
              'type' => 'UFix_32_0',
5165
            },
5166
            'direction' => 'out',
5167
            'hdlType' => 'std_logic_vector(31 downto 0)',
5168
            'width' => 32,
5169
          },
5170
          'en' => {
5171
            'attributes' => {
5172
              'bin_pt' => 0,
5173
              'is_floating_block' => 1,
5174
              'must_be_hdl_vector' => 1,
5175
              'period' => 1,
5176
              'port_id' => 1,
5177
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/en',
5178
              'type' => 'Bool',
5179
            },
5180
            'direction' => 'in',
5181
            'hdlType' => 'std_logic_vector(0 downto 0)',
5182
            'width' => 1,
5183
          },
5184
        },
5185
      },
5186
      'entityName' => 'x_x45',
5187
    },
5188
    'to_register20' => {
5189
      'connections' => {
5190
        'ce' => 'ce_1_sg_x0',
5191
        'clk' => 'clk_1_sg_x0',
5192
        'clr' => [
5193
          'constant',
5194
          '\'0\'',
5195
        ],
5196
        'data_in' => 'data_in_x12_net',
5197
        'dout' => 'to_register20_dout_net',
5198
        'en' => 'constant6_op_net_x13',
5199
      },
5200
      'entity' => {
5201
        'attributes' => {
5202
          'generics' => [
5203
          ],
5204
          'is_floating_block' => 1,
5205
          'mask' => {
5206
            'Block_Handle' => 2445.00048828125,
5207
            'Block_handle' => 2445.00048828125,
5208
            'MDL_Handle' => 2083.00048828125,
5209
            'MDL_handle' => 2083.00048828125,
5210
            'arith_type' => 1,
5211
            'bin_pt' => 14,
5212
            'block_config' => 'sysgen_blockset:toreg_config',
5213
            'block_handle' => 2445.00048828125,
5214
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20',
5215
            'block_type' => 'toreg',
5216
            'dbl_ovrd' => 0,
5217
            'explicit_data_type' => 0,
5218
            'gui_display_data_type' => 1,
5219
            'init' => 0,
5220
            'init_bit_vector' => '\'b0',
5221
            'mdl_handle' => 2083.00048828125,
5222
            'model_handle' => 2083.00048828125,
5223
            'n_bits' => 16,
5224
            'ownership' => 1,
5225
            'preci_type' => 1,
5226
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5227
            'shared_memory_name' => 'register11rv',
5228
          },
5229
          'needs_vhdl_wrapper' => 0,
5230
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20',
5231
        },
5232
        'entityName' => 'x_x46',
5233
        'ports' => {
5234
          'ce' => {
5235
            'attributes' => {
5236
              'domain' => '',
5237
              'group' => 1,
5238
              'isCe' => 1,
5239
              'is_floating_block' => 1,
5240
              'period' => 1,
5241
              'type' => 'logic',
5242
            },
5243
            'direction' => 'in',
5244
            'hdlType' => 'std_logic',
5245
            'width' => 1,
5246
          },
5247
          'clk' => {
5248
            'attributes' => {
5249
              'domain' => '',
5250
              'group' => 1,
5251
              'isClk' => 1,
5252
              'is_floating_block' => 1,
5253
              'period' => 1,
5254
              'type' => 'logic',
5255
            },
5256
            'direction' => 'in',
5257
            'hdlType' => 'std_logic',
5258
            'width' => 1,
5259
          },
5260
          'clr' => {
5261
            'attributes' => {
5262
              'domain' => '',
5263
              'group' => 1,
5264
              'isClr' => 1,
5265
              'is_floating_block' => 1,
5266
              'period' => 1,
5267
              'type' => 'logic',
5268
              'valid_bit_used' => 0,
5269
            },
5270
            'direction' => 'in',
5271
            'hdlType' => 'std_logic',
5272
            'width' => 1,
5273
          },
5274
          'data_in' => {
5275
            'attributes' => {
5276
              'bin_pt' => 0,
5277
              'is_floating_block' => 1,
5278
              'must_be_hdl_vector' => 1,
5279
              'period' => 1,
5280
              'port_id' => 0,
5281
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/data_in',
5282
              'type' => 'Bool',
5283
            },
5284
            'direction' => 'in',
5285
            'hdlType' => 'std_logic_vector(0 downto 0)',
5286
            'width' => 1,
5287
          },
5288
          'dout' => {
5289
            'attributes' => {
5290
              'bin_pt' => 0,
5291
              'is_floating_block' => 1,
5292
              'must_be_hdl_vector' => 1,
5293
              'period' => 1,
5294
              'port_id' => 0,
5295
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/dout',
5296
              'type' => 'Bool',
5297
            },
5298
            'direction' => 'out',
5299
            'hdlType' => 'std_logic_vector(0 downto 0)',
5300
            'width' => 1,
5301
          },
5302
          'en' => {
5303
            'attributes' => {
5304
              'bin_pt' => 0,
5305
              'is_floating_block' => 1,
5306
              'must_be_hdl_vector' => 1,
5307
              'period' => 1,
5308
              'port_id' => 1,
5309
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/en',
5310
              'type' => 'Bool',
5311
            },
5312
            'direction' => 'in',
5313
            'hdlType' => 'std_logic_vector(0 downto 0)',
5314
            'width' => 1,
5315
          },
5316
        },
5317
      },
5318
      'entityName' => 'x_x46',
5319
    },
5320
    'to_register21' => {
5321
      'connections' => {
5322
        'ce' => 'ce_1_sg_x0',
5323
        'clk' => 'clk_1_sg_x0',
5324
        'clr' => [
5325
          'constant',
5326
          '\'0\'',
5327
        ],
5328
        'data_in' => 'data_in_x13_net',
5329
        'dout' => 'to_register21_dout_net',
5330
        'en' => 'constant6_op_net_x14',
5331
      },
5332
      'entity' => {
5333
        'attributes' => {
5334
          'generics' => [
5335
          ],
5336
          'is_floating_block' => 1,
5337
          'mask' => {
5338
            'Block_Handle' => 2446.00048828125,
5339
            'Block_handle' => 2446.00048828125,
5340
            'MDL_Handle' => 2083.00048828125,
5341
            'MDL_handle' => 2083.00048828125,
5342
            'arith_type' => 1,
5343
            'bin_pt' => 14,
5344
            'block_config' => 'sysgen_blockset:toreg_config',
5345
            'block_handle' => 2446.00048828125,
5346
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21',
5347
            'block_type' => 'toreg',
5348
            'dbl_ovrd' => 0,
5349
            'explicit_data_type' => 0,
5350
            'gui_display_data_type' => 1,
5351
            'init' => 0,
5352
            'init_bit_vector' => '\'b00000000000000000000000000000000',
5353
            'mdl_handle' => 2083.00048828125,
5354
            'model_handle' => 2083.00048828125,
5355
            'n_bits' => 16,
5356
            'ownership' => 1,
5357
            'preci_type' => 1,
5358
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5359
            'shared_memory_name' => 'register11rd',
5360
          },
5361
          'needs_vhdl_wrapper' => 0,
5362
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21',
5363
        },
5364
        'entityName' => 'x_x47',
5365
        'ports' => {
5366
          'ce' => {
5367
            'attributes' => {
5368
              'domain' => '',
5369
              'group' => 1,
5370
              'isCe' => 1,
5371
              'is_floating_block' => 1,
5372
              'period' => 1,
5373
              'type' => 'logic',
5374
            },
5375
            'direction' => 'in',
5376
            'hdlType' => 'std_logic',
5377
            'width' => 1,
5378
          },
5379
          'clk' => {
5380
            'attributes' => {
5381
              'domain' => '',
5382
              'group' => 1,
5383
              'isClk' => 1,
5384
              'is_floating_block' => 1,
5385
              'period' => 1,
5386
              'type' => 'logic',
5387
            },
5388
            'direction' => 'in',
5389
            'hdlType' => 'std_logic',
5390
            'width' => 1,
5391
          },
5392
          'clr' => {
5393
            'attributes' => {
5394
              'domain' => '',
5395
              'group' => 1,
5396
              'isClr' => 1,
5397
              'is_floating_block' => 1,
5398
              'period' => 1,
5399
              'type' => 'logic',
5400
              'valid_bit_used' => 0,
5401
            },
5402
            'direction' => 'in',
5403
            'hdlType' => 'std_logic',
5404
            'width' => 1,
5405
          },
5406
          'data_in' => {
5407
            'attributes' => {
5408
              'bin_pt' => 0,
5409
              'is_floating_block' => 1,
5410
              'must_be_hdl_vector' => 1,
5411
              'period' => 1,
5412
              'port_id' => 0,
5413
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/data_in',
5414
              'type' => 'UFix_32_0',
5415
            },
5416
            'direction' => 'in',
5417
            'hdlType' => 'std_logic_vector(31 downto 0)',
5418
            'width' => 32,
5419
          },
5420
          'dout' => {
5421
            'attributes' => {
5422
              'bin_pt' => 0,
5423
              'is_floating_block' => 1,
5424
              'must_be_hdl_vector' => 1,
5425
              'period' => 1,
5426
              'port_id' => 0,
5427
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/dout',
5428
              'type' => 'UFix_32_0',
5429
            },
5430
            'direction' => 'out',
5431
            'hdlType' => 'std_logic_vector(31 downto 0)',
5432
            'width' => 32,
5433
          },
5434
          'en' => {
5435
            'attributes' => {
5436
              'bin_pt' => 0,
5437
              'is_floating_block' => 1,
5438
              'must_be_hdl_vector' => 1,
5439
              'period' => 1,
5440
              'port_id' => 1,
5441
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/en',
5442
              'type' => 'Bool',
5443
            },
5444
            'direction' => 'in',
5445
            'hdlType' => 'std_logic_vector(0 downto 0)',
5446
            'width' => 1,
5447
          },
5448
        },
5449
      },
5450
      'entityName' => 'x_x47',
5451
    },
5452
    'to_register22' => {
5453
      'connections' => {
5454
        'ce' => 'ce_1_sg_x0',
5455
        'clk' => 'clk_1_sg_x0',
5456
        'clr' => [
5457
          'constant',
5458
          '\'0\'',
5459
        ],
5460
        'data_in' => 'data_in_x14_net',
5461
        'dout' => 'to_register22_dout_net',
5462
        'en' => 'constant6_op_net_x15',
5463
      },
5464
      'entity' => {
5465
        'attributes' => {
5466
          'generics' => [
5467
          ],
5468
          'is_floating_block' => 1,
5469
          'mask' => {
5470
            'Block_Handle' => 2447.00048828125,
5471
            'Block_handle' => 2447.00048828125,
5472
            'MDL_Handle' => 2083.00048828125,
5473
            'MDL_handle' => 2083.00048828125,
5474
            'arith_type' => 1,
5475
            'bin_pt' => 14,
5476
            'block_config' => 'sysgen_blockset:toreg_config',
5477
            'block_handle' => 2447.00048828125,
5478
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22',
5479
            'block_type' => 'toreg',
5480
            'dbl_ovrd' => 0,
5481
            'explicit_data_type' => 0,
5482
            'gui_display_data_type' => 1,
5483
            'init' => 0,
5484
            'init_bit_vector' => '\'b0',
5485
            'mdl_handle' => 2083.00048828125,
5486
            'model_handle' => 2083.00048828125,
5487
            'n_bits' => 16,
5488
            'ownership' => 1,
5489
            'preci_type' => 1,
5490
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5491
            'shared_memory_name' => 'register12rv',
5492
          },
5493
          'needs_vhdl_wrapper' => 0,
5494
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22',
5495
        },
5496
        'entityName' => 'x_x48',
5497
        'ports' => {
5498
          'ce' => {
5499
            'attributes' => {
5500
              'domain' => '',
5501
              'group' => 1,
5502
              'isCe' => 1,
5503
              'is_floating_block' => 1,
5504
              'period' => 1,
5505
              'type' => 'logic',
5506
            },
5507
            'direction' => 'in',
5508
            'hdlType' => 'std_logic',
5509
            'width' => 1,
5510
          },
5511
          'clk' => {
5512
            'attributes' => {
5513
              'domain' => '',
5514
              'group' => 1,
5515
              'isClk' => 1,
5516
              'is_floating_block' => 1,
5517
              'period' => 1,
5518
              'type' => 'logic',
5519
            },
5520
            'direction' => 'in',
5521
            'hdlType' => 'std_logic',
5522
            'width' => 1,
5523
          },
5524
          'clr' => {
5525
            'attributes' => {
5526
              'domain' => '',
5527
              'group' => 1,
5528
              'isClr' => 1,
5529
              'is_floating_block' => 1,
5530
              'period' => 1,
5531
              'type' => 'logic',
5532
              'valid_bit_used' => 0,
5533
            },
5534
            'direction' => 'in',
5535
            'hdlType' => 'std_logic',
5536
            'width' => 1,
5537
          },
5538
          'data_in' => {
5539
            'attributes' => {
5540
              'bin_pt' => 0,
5541
              'is_floating_block' => 1,
5542
              'must_be_hdl_vector' => 1,
5543
              'period' => 1,
5544
              'port_id' => 0,
5545
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/data_in',
5546
              'type' => 'Bool',
5547
            },
5548
            'direction' => 'in',
5549
            'hdlType' => 'std_logic_vector(0 downto 0)',
5550
            'width' => 1,
5551
          },
5552
          'dout' => {
5553
            'attributes' => {
5554
              'bin_pt' => 0,
5555
              'is_floating_block' => 1,
5556
              'must_be_hdl_vector' => 1,
5557
              'period' => 1,
5558
              'port_id' => 0,
5559
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/dout',
5560
              'type' => 'Bool',
5561
            },
5562
            'direction' => 'out',
5563
            'hdlType' => 'std_logic_vector(0 downto 0)',
5564
            'width' => 1,
5565
          },
5566
          'en' => {
5567
            'attributes' => {
5568
              'bin_pt' => 0,
5569
              'is_floating_block' => 1,
5570
              'must_be_hdl_vector' => 1,
5571
              'period' => 1,
5572
              'port_id' => 1,
5573
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/en',
5574
              'type' => 'Bool',
5575
            },
5576
            'direction' => 'in',
5577
            'hdlType' => 'std_logic_vector(0 downto 0)',
5578
            'width' => 1,
5579
          },
5580
        },
5581
      },
5582
      'entityName' => 'x_x48',
5583
    },
5584
    'to_register23' => {
5585
      'connections' => {
5586
        'ce' => 'ce_1_sg_x0',
5587
        'clk' => 'clk_1_sg_x0',
5588
        'clr' => [
5589
          'constant',
5590
          '\'0\'',
5591
        ],
5592
        'data_in' => 'data_in_x15_net',
5593
        'dout' => 'to_register23_dout_net',
5594
        'en' => 'constant6_op_net_x16',
5595
      },
5596
      'entity' => {
5597
        'attributes' => {
5598
          'generics' => [
5599
          ],
5600
          'is_floating_block' => 1,
5601
          'mask' => {
5602
            'Block_Handle' => 2448.00048828125,
5603
            'Block_handle' => 2448.00048828125,
5604
            'MDL_Handle' => 2083.00048828125,
5605
            'MDL_handle' => 2083.00048828125,
5606
            'arith_type' => 1,
5607
            'bin_pt' => 14,
5608
            'block_config' => 'sysgen_blockset:toreg_config',
5609
            'block_handle' => 2448.00048828125,
5610
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23',
5611
            'block_type' => 'toreg',
5612
            'dbl_ovrd' => 0,
5613
            'explicit_data_type' => 0,
5614
            'gui_display_data_type' => 1,
5615
            'init' => 0,
5616
            'init_bit_vector' => '\'b00000000000000000000000000000000',
5617
            'mdl_handle' => 2083.00048828125,
5618
            'model_handle' => 2083.00048828125,
5619
            'n_bits' => 16,
5620
            'ownership' => 1,
5621
            'preci_type' => 1,
5622
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5623
            'shared_memory_name' => 'register12rd',
5624
          },
5625
          'needs_vhdl_wrapper' => 0,
5626
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23',
5627
        },
5628
        'entityName' => 'x_x49',
5629
        'ports' => {
5630
          'ce' => {
5631
            'attributes' => {
5632
              'domain' => '',
5633
              'group' => 1,
5634
              'isCe' => 1,
5635
              'is_floating_block' => 1,
5636
              'period' => 1,
5637
              'type' => 'logic',
5638
            },
5639
            'direction' => 'in',
5640
            'hdlType' => 'std_logic',
5641
            'width' => 1,
5642
          },
5643
          'clk' => {
5644
            'attributes' => {
5645
              'domain' => '',
5646
              'group' => 1,
5647
              'isClk' => 1,
5648
              'is_floating_block' => 1,
5649
              'period' => 1,
5650
              'type' => 'logic',
5651
            },
5652
            'direction' => 'in',
5653
            'hdlType' => 'std_logic',
5654
            'width' => 1,
5655
          },
5656
          'clr' => {
5657
            'attributes' => {
5658
              'domain' => '',
5659
              'group' => 1,
5660
              'isClr' => 1,
5661
              'is_floating_block' => 1,
5662
              'period' => 1,
5663
              'type' => 'logic',
5664
              'valid_bit_used' => 0,
5665
            },
5666
            'direction' => 'in',
5667
            'hdlType' => 'std_logic',
5668
            'width' => 1,
5669
          },
5670
          'data_in' => {
5671
            'attributes' => {
5672
              'bin_pt' => 0,
5673
              'is_floating_block' => 1,
5674
              'must_be_hdl_vector' => 1,
5675
              'period' => 1,
5676
              'port_id' => 0,
5677
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/data_in',
5678
              'type' => 'UFix_32_0',
5679
            },
5680
            'direction' => 'in',
5681
            'hdlType' => 'std_logic_vector(31 downto 0)',
5682
            'width' => 32,
5683
          },
5684
          'dout' => {
5685
            'attributes' => {
5686
              'bin_pt' => 0,
5687
              'is_floating_block' => 1,
5688
              'must_be_hdl_vector' => 1,
5689
              'period' => 1,
5690
              'port_id' => 0,
5691
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/dout',
5692
              'type' => 'UFix_32_0',
5693
            },
5694
            'direction' => 'out',
5695
            'hdlType' => 'std_logic_vector(31 downto 0)',
5696
            'width' => 32,
5697
          },
5698
          'en' => {
5699
            'attributes' => {
5700
              'bin_pt' => 0,
5701
              'is_floating_block' => 1,
5702
              'must_be_hdl_vector' => 1,
5703
              'period' => 1,
5704
              'port_id' => 1,
5705
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/en',
5706
              'type' => 'Bool',
5707
            },
5708
            'direction' => 'in',
5709
            'hdlType' => 'std_logic_vector(0 downto 0)',
5710
            'width' => 1,
5711
          },
5712
        },
5713
      },
5714
      'entityName' => 'x_x49',
5715
    },
5716
    'to_register24' => {
5717
      'connections' => {
5718
        'ce' => 'ce_1_sg_x0',
5719
        'clk' => 'clk_1_sg_x0',
5720
        'clr' => [
5721
          'constant',
5722
          '\'0\'',
5723
        ],
5724
        'data_in' => 'data_in_x16_net',
5725
        'dout' => 'to_register24_dout_net',
5726
        'en' => 'constant6_op_net_x17',
5727
      },
5728
      'entity' => {
5729
        'attributes' => {
5730
          'generics' => [
5731
          ],
5732
          'is_floating_block' => 1,
5733
          'mask' => {
5734
            'Block_Handle' => 2449.00048828125,
5735
            'Block_handle' => 2449.00048828125,
5736
            'MDL_Handle' => 2083.00048828125,
5737
            'MDL_handle' => 2083.00048828125,
5738
            'arith_type' => 1,
5739
            'bin_pt' => 14,
5740
            'block_config' => 'sysgen_blockset:toreg_config',
5741
            'block_handle' => 2449.00048828125,
5742
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24',
5743
            'block_type' => 'toreg',
5744
            'dbl_ovrd' => 0,
5745
            'explicit_data_type' => 0,
5746
            'gui_display_data_type' => 1,
5747
            'init' => 0,
5748
            'init_bit_vector' => '\'b0',
5749
            'mdl_handle' => 2083.00048828125,
5750
            'model_handle' => 2083.00048828125,
5751
            'n_bits' => 16,
5752
            'ownership' => 1,
5753
            'preci_type' => 1,
5754
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5755
            'shared_memory_name' => 'register13rv',
5756
          },
5757
          'needs_vhdl_wrapper' => 0,
5758
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24',
5759
        },
5760
        'entityName' => 'x_x50',
5761
        'ports' => {
5762
          'ce' => {
5763
            'attributes' => {
5764
              'domain' => '',
5765
              'group' => 1,
5766
              'isCe' => 1,
5767
              'is_floating_block' => 1,
5768
              'period' => 1,
5769
              'type' => 'logic',
5770
            },
5771
            'direction' => 'in',
5772
            'hdlType' => 'std_logic',
5773
            'width' => 1,
5774
          },
5775
          'clk' => {
5776
            'attributes' => {
5777
              'domain' => '',
5778
              'group' => 1,
5779
              'isClk' => 1,
5780
              'is_floating_block' => 1,
5781
              'period' => 1,
5782
              'type' => 'logic',
5783
            },
5784
            'direction' => 'in',
5785
            'hdlType' => 'std_logic',
5786
            'width' => 1,
5787
          },
5788
          'clr' => {
5789
            'attributes' => {
5790
              'domain' => '',
5791
              'group' => 1,
5792
              'isClr' => 1,
5793
              'is_floating_block' => 1,
5794
              'period' => 1,
5795
              'type' => 'logic',
5796
              'valid_bit_used' => 0,
5797
            },
5798
            'direction' => 'in',
5799
            'hdlType' => 'std_logic',
5800
            'width' => 1,
5801
          },
5802
          'data_in' => {
5803
            'attributes' => {
5804
              'bin_pt' => 0,
5805
              'is_floating_block' => 1,
5806
              'must_be_hdl_vector' => 1,
5807
              'period' => 1,
5808
              'port_id' => 0,
5809
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/data_in',
5810
              'type' => 'Bool',
5811
            },
5812
            'direction' => 'in',
5813
            'hdlType' => 'std_logic_vector(0 downto 0)',
5814
            'width' => 1,
5815
          },
5816
          'dout' => {
5817
            'attributes' => {
5818
              'bin_pt' => 0,
5819
              'is_floating_block' => 1,
5820
              'must_be_hdl_vector' => 1,
5821
              'period' => 1,
5822
              'port_id' => 0,
5823
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/dout',
5824
              'type' => 'Bool',
5825
            },
5826
            'direction' => 'out',
5827
            'hdlType' => 'std_logic_vector(0 downto 0)',
5828
            'width' => 1,
5829
          },
5830
          'en' => {
5831
            'attributes' => {
5832
              'bin_pt' => 0,
5833
              'is_floating_block' => 1,
5834
              'must_be_hdl_vector' => 1,
5835
              'period' => 1,
5836
              'port_id' => 1,
5837
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/en',
5838
              'type' => 'Bool',
5839
            },
5840
            'direction' => 'in',
5841
            'hdlType' => 'std_logic_vector(0 downto 0)',
5842
            'width' => 1,
5843
          },
5844
        },
5845
      },
5846
      'entityName' => 'x_x50',
5847
    },
5848
    'to_register25' => {
5849
      'connections' => {
5850
        'ce' => 'ce_1_sg_x0',
5851
        'clk' => 'clk_1_sg_x0',
5852
        'clr' => [
5853
          'constant',
5854
          '\'0\'',
5855
        ],
5856
        'data_in' => 'data_in_x17_net',
5857
        'dout' => 'to_register25_dout_net',
5858
        'en' => 'constant6_op_net_x18',
5859
      },
5860
      'entity' => {
5861
        'attributes' => {
5862
          'generics' => [
5863
          ],
5864
          'is_floating_block' => 1,
5865
          'mask' => {
5866
            'Block_Handle' => 2450.00048828125,
5867
            'Block_handle' => 2450.00048828125,
5868
            'MDL_Handle' => 2083.00048828125,
5869
            'MDL_handle' => 2083.00048828125,
5870
            'arith_type' => 1,
5871
            'bin_pt' => 14,
5872
            'block_config' => 'sysgen_blockset:toreg_config',
5873
            'block_handle' => 2450.00048828125,
5874
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25',
5875
            'block_type' => 'toreg',
5876
            'dbl_ovrd' => 0,
5877
            'explicit_data_type' => 0,
5878
            'gui_display_data_type' => 1,
5879
            'init' => 0,
5880
            'init_bit_vector' => '\'b00000000000000000000000000000000',
5881
            'mdl_handle' => 2083.00048828125,
5882
            'model_handle' => 2083.00048828125,
5883
            'n_bits' => 16,
5884
            'ownership' => 1,
5885
            'preci_type' => 1,
5886
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5887
            'shared_memory_name' => 'register13rd',
5888
          },
5889
          'needs_vhdl_wrapper' => 0,
5890
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25',
5891
        },
5892
        'entityName' => 'x_x51',
5893
        'ports' => {
5894
          'ce' => {
5895
            'attributes' => {
5896
              'domain' => '',
5897
              'group' => 1,
5898
              'isCe' => 1,
5899
              'is_floating_block' => 1,
5900
              'period' => 1,
5901
              'type' => 'logic',
5902
            },
5903
            'direction' => 'in',
5904
            'hdlType' => 'std_logic',
5905
            'width' => 1,
5906
          },
5907
          'clk' => {
5908
            'attributes' => {
5909
              'domain' => '',
5910
              'group' => 1,
5911
              'isClk' => 1,
5912
              'is_floating_block' => 1,
5913
              'period' => 1,
5914
              'type' => 'logic',
5915
            },
5916
            'direction' => 'in',
5917
            'hdlType' => 'std_logic',
5918
            'width' => 1,
5919
          },
5920
          'clr' => {
5921
            'attributes' => {
5922
              'domain' => '',
5923
              'group' => 1,
5924
              'isClr' => 1,
5925
              'is_floating_block' => 1,
5926
              'period' => 1,
5927
              'type' => 'logic',
5928
              'valid_bit_used' => 0,
5929
            },
5930
            'direction' => 'in',
5931
            'hdlType' => 'std_logic',
5932
            'width' => 1,
5933
          },
5934
          'data_in' => {
5935
            'attributes' => {
5936
              'bin_pt' => 0,
5937
              'is_floating_block' => 1,
5938
              'must_be_hdl_vector' => 1,
5939
              'period' => 1,
5940
              'port_id' => 0,
5941
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/data_in',
5942
              'type' => 'UFix_32_0',
5943
            },
5944
            'direction' => 'in',
5945
            'hdlType' => 'std_logic_vector(31 downto 0)',
5946
            'width' => 32,
5947
          },
5948
          'dout' => {
5949
            'attributes' => {
5950
              'bin_pt' => 0,
5951
              'is_floating_block' => 1,
5952
              'must_be_hdl_vector' => 1,
5953
              'period' => 1,
5954
              'port_id' => 0,
5955
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/dout',
5956
              'type' => 'UFix_32_0',
5957
            },
5958
            'direction' => 'out',
5959
            'hdlType' => 'std_logic_vector(31 downto 0)',
5960
            'width' => 32,
5961
          },
5962
          'en' => {
5963
            'attributes' => {
5964
              'bin_pt' => 0,
5965
              'is_floating_block' => 1,
5966
              'must_be_hdl_vector' => 1,
5967
              'period' => 1,
5968
              'port_id' => 1,
5969
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/en',
5970
              'type' => 'Bool',
5971
            },
5972
            'direction' => 'in',
5973
            'hdlType' => 'std_logic_vector(0 downto 0)',
5974
            'width' => 1,
5975
          },
5976
        },
5977
      },
5978
      'entityName' => 'x_x51',
5979
    },
5980
    'to_register26' => {
5981
      'connections' => {
5982
        'ce' => 'ce_1_sg_x0',
5983
        'clk' => 'clk_1_sg_x0',
5984
        'clr' => [
5985
          'constant',
5986
          '\'0\'',
5987
        ],
5988
        'data_in' => 'data_in_x18_net',
5989
        'dout' => 'to_register26_dout_net',
5990
        'en' => 'constant6_op_net_x19',
5991
      },
5992
      'entity' => {
5993
        'attributes' => {
5994
          'generics' => [
5995
          ],
5996
          'is_floating_block' => 1,
5997
          'mask' => {
5998
            'Block_Handle' => 2451.00048828125,
5999
            'Block_handle' => 2451.00048828125,
6000
            'MDL_Handle' => 2083.00048828125,
6001
            'MDL_handle' => 2083.00048828125,
6002
            'arith_type' => 1,
6003
            'bin_pt' => 14,
6004
            'block_config' => 'sysgen_blockset:toreg_config',
6005
            'block_handle' => 2451.00048828125,
6006
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26',
6007
            'block_type' => 'toreg',
6008
            'dbl_ovrd' => 0,
6009
            'explicit_data_type' => 0,
6010
            'gui_display_data_type' => 1,
6011
            'init' => 0,
6012
            'init_bit_vector' => '\'b0',
6013
            'mdl_handle' => 2083.00048828125,
6014
            'model_handle' => 2083.00048828125,
6015
            'n_bits' => 16,
6016
            'ownership' => 1,
6017
            'preci_type' => 1,
6018
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6019
            'shared_memory_name' => 'register14rv',
6020
          },
6021
          'needs_vhdl_wrapper' => 0,
6022
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26',
6023
        },
6024
        'entityName' => 'x_x52',
6025
        'ports' => {
6026
          'ce' => {
6027
            'attributes' => {
6028
              'domain' => '',
6029
              'group' => 1,
6030
              'isCe' => 1,
6031
              'is_floating_block' => 1,
6032
              'period' => 1,
6033
              'type' => 'logic',
6034
            },
6035
            'direction' => 'in',
6036
            'hdlType' => 'std_logic',
6037
            'width' => 1,
6038
          },
6039
          'clk' => {
6040
            'attributes' => {
6041
              'domain' => '',
6042
              'group' => 1,
6043
              'isClk' => 1,
6044
              'is_floating_block' => 1,
6045
              'period' => 1,
6046
              'type' => 'logic',
6047
            },
6048
            'direction' => 'in',
6049
            'hdlType' => 'std_logic',
6050
            'width' => 1,
6051
          },
6052
          'clr' => {
6053
            'attributes' => {
6054
              'domain' => '',
6055
              'group' => 1,
6056
              'isClr' => 1,
6057
              'is_floating_block' => 1,
6058
              'period' => 1,
6059
              'type' => 'logic',
6060
              'valid_bit_used' => 0,
6061
            },
6062
            'direction' => 'in',
6063
            'hdlType' => 'std_logic',
6064
            'width' => 1,
6065
          },
6066
          'data_in' => {
6067
            'attributes' => {
6068
              'bin_pt' => 0,
6069
              'is_floating_block' => 1,
6070
              'must_be_hdl_vector' => 1,
6071
              'period' => 1,
6072
              'port_id' => 0,
6073
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/data_in',
6074
              'type' => 'Bool',
6075
            },
6076
            'direction' => 'in',
6077
            'hdlType' => 'std_logic_vector(0 downto 0)',
6078
            'width' => 1,
6079
          },
6080
          'dout' => {
6081
            'attributes' => {
6082
              'bin_pt' => 0,
6083
              'is_floating_block' => 1,
6084
              'must_be_hdl_vector' => 1,
6085
              'period' => 1,
6086
              'port_id' => 0,
6087
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/dout',
6088
              'type' => 'Bool',
6089
            },
6090
            'direction' => 'out',
6091
            'hdlType' => 'std_logic_vector(0 downto 0)',
6092
            'width' => 1,
6093
          },
6094
          'en' => {
6095
            'attributes' => {
6096
              'bin_pt' => 0,
6097
              'is_floating_block' => 1,
6098
              'must_be_hdl_vector' => 1,
6099
              'period' => 1,
6100
              'port_id' => 1,
6101
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/en',
6102
              'type' => 'Bool',
6103
            },
6104
            'direction' => 'in',
6105
            'hdlType' => 'std_logic_vector(0 downto 0)',
6106
            'width' => 1,
6107
          },
6108
        },
6109
      },
6110
      'entityName' => 'x_x52',
6111
    },
6112
    'to_register27' => {
6113
      'connections' => {
6114
        'ce' => 'ce_1_sg_x0',
6115
        'clk' => 'clk_1_sg_x0',
6116
        'clr' => [
6117
          'constant',
6118
          '\'0\'',
6119
        ],
6120
        'data_in' => 'data_in_x19_net',
6121
        'dout' => 'to_register27_dout_net',
6122
        'en' => 'constant6_op_net_x20',
6123
      },
6124
      'entity' => {
6125
        'attributes' => {
6126
          'generics' => [
6127
          ],
6128
          'is_floating_block' => 1,
6129
          'mask' => {
6130
            'Block_Handle' => 2452.00048828125,
6131
            'Block_handle' => 2452.00048828125,
6132
            'MDL_Handle' => 2083.00048828125,
6133
            'MDL_handle' => 2083.00048828125,
6134
            'arith_type' => 1,
6135
            'bin_pt' => 14,
6136
            'block_config' => 'sysgen_blockset:toreg_config',
6137
            'block_handle' => 2452.00048828125,
6138
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27',
6139
            'block_type' => 'toreg',
6140
            'dbl_ovrd' => 0,
6141
            'explicit_data_type' => 0,
6142
            'gui_display_data_type' => 1,
6143
            'init' => 0,
6144
            'init_bit_vector' => '\'b00000000000000000000000000000000',
6145
            'mdl_handle' => 2083.00048828125,
6146
            'model_handle' => 2083.00048828125,
6147
            'n_bits' => 16,
6148
            'ownership' => 1,
6149
            'preci_type' => 1,
6150
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6151
            'shared_memory_name' => 'register14rd',
6152
          },
6153
          'needs_vhdl_wrapper' => 0,
6154
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27',
6155
        },
6156
        'entityName' => 'x_x53',
6157
        'ports' => {
6158
          'ce' => {
6159
            'attributes' => {
6160
              'domain' => '',
6161
              'group' => 1,
6162
              'isCe' => 1,
6163
              'is_floating_block' => 1,
6164
              'period' => 1,
6165
              'type' => 'logic',
6166
            },
6167
            'direction' => 'in',
6168
            'hdlType' => 'std_logic',
6169
            'width' => 1,
6170
          },
6171
          'clk' => {
6172
            'attributes' => {
6173
              'domain' => '',
6174
              'group' => 1,
6175
              'isClk' => 1,
6176
              'is_floating_block' => 1,
6177
              'period' => 1,
6178
              'type' => 'logic',
6179
            },
6180
            'direction' => 'in',
6181
            'hdlType' => 'std_logic',
6182
            'width' => 1,
6183
          },
6184
          'clr' => {
6185
            'attributes' => {
6186
              'domain' => '',
6187
              'group' => 1,
6188
              'isClr' => 1,
6189
              'is_floating_block' => 1,
6190
              'period' => 1,
6191
              'type' => 'logic',
6192
              'valid_bit_used' => 0,
6193
            },
6194
            'direction' => 'in',
6195
            'hdlType' => 'std_logic',
6196
            'width' => 1,
6197
          },
6198
          'data_in' => {
6199
            'attributes' => {
6200
              'bin_pt' => 0,
6201
              'is_floating_block' => 1,
6202
              'must_be_hdl_vector' => 1,
6203
              'period' => 1,
6204
              'port_id' => 0,
6205
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/data_in',
6206
              'type' => 'UFix_32_0',
6207
            },
6208
            'direction' => 'in',
6209
            'hdlType' => 'std_logic_vector(31 downto 0)',
6210
            'width' => 32,
6211
          },
6212
          'dout' => {
6213
            'attributes' => {
6214
              'bin_pt' => 0,
6215
              'is_floating_block' => 1,
6216
              'must_be_hdl_vector' => 1,
6217
              'period' => 1,
6218
              'port_id' => 0,
6219
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/dout',
6220
              'type' => 'UFix_32_0',
6221
            },
6222
            'direction' => 'out',
6223
            'hdlType' => 'std_logic_vector(31 downto 0)',
6224
            'width' => 32,
6225
          },
6226
          'en' => {
6227
            'attributes' => {
6228
              'bin_pt' => 0,
6229
              'is_floating_block' => 1,
6230
              'must_be_hdl_vector' => 1,
6231
              'period' => 1,
6232
              'port_id' => 1,
6233
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/en',
6234
              'type' => 'Bool',
6235
            },
6236
            'direction' => 'in',
6237
            'hdlType' => 'std_logic_vector(0 downto 0)',
6238
            'width' => 1,
6239
          },
6240
        },
6241
      },
6242
      'entityName' => 'x_x53',
6243
    },
6244
    'to_register3' => {
6245
      'connections' => {
6246
        'ce' => 'ce_1_sg_x0',
6247
        'clk' => 'clk_1_sg_x0',
6248
        'clr' => [
6249
          'constant',
6250
          '\'0\'',
6251
        ],
6252
        'data_in' => 'data_in_x20_net',
6253
        'dout' => 'to_register3_dout_net',
6254
        'en' => 'constant6_op_net_x21',
6255
      },
6256
      'entity' => {
6257
        'attributes' => {
6258
          'generics' => [
6259
          ],
6260
          'is_floating_block' => 1,
6261
          'mask' => {
6262
            'Block_Handle' => 2453.00048828125,
6263
            'Block_handle' => 2453.00048828125,
6264
            'MDL_Handle' => 2083.00048828125,
6265
            'MDL_handle' => 2083.00048828125,
6266
            'arith_type' => 1,
6267
            'bin_pt' => 14,
6268
            'block_config' => 'sysgen_blockset:toreg_config',
6269
            'block_handle' => 2453.00048828125,
6270
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3',
6271
            'block_type' => 'toreg',
6272
            'dbl_ovrd' => 0,
6273
            'explicit_data_type' => 0,
6274
            'gui_display_data_type' => 1,
6275
            'init' => 0,
6276
            'init_bit_vector' => '\'b00000000000000000000000000000000',
6277
            'mdl_handle' => 2083.00048828125,
6278
            'model_handle' => 2083.00048828125,
6279
            'n_bits' => 16,
6280
            'ownership' => 1,
6281
            'preci_type' => 1,
6282
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6283
            'shared_memory_name' => 'register03rd',
6284
          },
6285
          'needs_vhdl_wrapper' => 0,
6286
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3',
6287
        },
6288
        'entityName' => 'x_x54',
6289
        'ports' => {
6290
          'ce' => {
6291
            'attributes' => {
6292
              'domain' => '',
6293
              'group' => 1,
6294
              'isCe' => 1,
6295
              'is_floating_block' => 1,
6296
              'period' => 1,
6297
              'type' => 'logic',
6298
            },
6299
            'direction' => 'in',
6300
            'hdlType' => 'std_logic',
6301
            'width' => 1,
6302
          },
6303
          'clk' => {
6304
            'attributes' => {
6305
              'domain' => '',
6306
              'group' => 1,
6307
              'isClk' => 1,
6308
              'is_floating_block' => 1,
6309
              'period' => 1,
6310
              'type' => 'logic',
6311
            },
6312
            'direction' => 'in',
6313
            'hdlType' => 'std_logic',
6314
            'width' => 1,
6315
          },
6316
          'clr' => {
6317
            'attributes' => {
6318
              'domain' => '',
6319
              'group' => 1,
6320
              'isClr' => 1,
6321
              'is_floating_block' => 1,
6322
              'period' => 1,
6323
              'type' => 'logic',
6324
              'valid_bit_used' => 0,
6325
            },
6326
            'direction' => 'in',
6327
            'hdlType' => 'std_logic',
6328
            'width' => 1,
6329
          },
6330
          'data_in' => {
6331
            'attributes' => {
6332
              'bin_pt' => 0,
6333
              'is_floating_block' => 1,
6334
              'must_be_hdl_vector' => 1,
6335
              'period' => 1,
6336
              'port_id' => 0,
6337
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/data_in',
6338
              'type' => 'UFix_32_0',
6339
            },
6340
            'direction' => 'in',
6341
            'hdlType' => 'std_logic_vector(31 downto 0)',
6342
            'width' => 32,
6343
          },
6344
          'dout' => {
6345
            'attributes' => {
6346
              'bin_pt' => 0,
6347
              'is_floating_block' => 1,
6348
              'must_be_hdl_vector' => 1,
6349
              'period' => 1,
6350
              'port_id' => 0,
6351
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/dout',
6352
              'type' => 'UFix_32_0',
6353
            },
6354
            'direction' => 'out',
6355
            'hdlType' => 'std_logic_vector(31 downto 0)',
6356
            'width' => 32,
6357
          },
6358
          'en' => {
6359
            'attributes' => {
6360
              'bin_pt' => 0,
6361
              'is_floating_block' => 1,
6362
              'must_be_hdl_vector' => 1,
6363
              'period' => 1,
6364
              'port_id' => 1,
6365
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/en',
6366
              'type' => 'Bool',
6367
            },
6368
            'direction' => 'in',
6369
            'hdlType' => 'std_logic_vector(0 downto 0)',
6370
            'width' => 1,
6371
          },
6372
        },
6373
      },
6374
      'entityName' => 'x_x54',
6375
    },
6376
    'to_register4' => {
6377
      'connections' => {
6378
        'ce' => 'ce_1_sg_x0',
6379
        'clk' => 'clk_1_sg_x0',
6380
        'clr' => [
6381
          'constant',
6382
          '\'0\'',
6383
        ],
6384
        'data_in' => 'data_in_x21_net',
6385
        'dout' => 'to_register4_dout_net',
6386
        'en' => 'constant6_op_net_x22',
6387
      },
6388
      'entity' => {
6389
        'attributes' => {
6390
          'generics' => [
6391
          ],
6392
          'is_floating_block' => 1,
6393
          'mask' => {
6394
            'Block_Handle' => 2454.00048828125,
6395
            'Block_handle' => 2454.00048828125,
6396
            'MDL_Handle' => 2083.00048828125,
6397
            'MDL_handle' => 2083.00048828125,
6398
            'arith_type' => 1,
6399
            'bin_pt' => 14,
6400
            'block_config' => 'sysgen_blockset:toreg_config',
6401
            'block_handle' => 2454.00048828125,
6402
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4',
6403
            'block_type' => 'toreg',
6404
            'dbl_ovrd' => 0,
6405
            'explicit_data_type' => 0,
6406
            'gui_display_data_type' => 1,
6407
            'init' => 0,
6408
            'init_bit_vector' => '\'b0',
6409
            'mdl_handle' => 2083.00048828125,
6410
            'model_handle' => 2083.00048828125,
6411
            'n_bits' => 16,
6412
            'ownership' => 1,
6413
            'preci_type' => 1,
6414
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6415
            'shared_memory_name' => 'register02rv',
6416
          },
6417
          'needs_vhdl_wrapper' => 0,
6418
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4',
6419
        },
6420
        'entityName' => 'x_x55',
6421
        'ports' => {
6422
          'ce' => {
6423
            'attributes' => {
6424
              'domain' => '',
6425
              'group' => 1,
6426
              'isCe' => 1,
6427
              'is_floating_block' => 1,
6428
              'period' => 1,
6429
              'type' => 'logic',
6430
            },
6431
            'direction' => 'in',
6432
            'hdlType' => 'std_logic',
6433
            'width' => 1,
6434
          },
6435
          'clk' => {
6436
            'attributes' => {
6437
              'domain' => '',
6438
              'group' => 1,
6439
              'isClk' => 1,
6440
              'is_floating_block' => 1,
6441
              'period' => 1,
6442
              'type' => 'logic',
6443
            },
6444
            'direction' => 'in',
6445
            'hdlType' => 'std_logic',
6446
            'width' => 1,
6447
          },
6448
          'clr' => {
6449
            'attributes' => {
6450
              'domain' => '',
6451
              'group' => 1,
6452
              'isClr' => 1,
6453
              'is_floating_block' => 1,
6454
              'period' => 1,
6455
              'type' => 'logic',
6456
              'valid_bit_used' => 0,
6457
            },
6458
            'direction' => 'in',
6459
            'hdlType' => 'std_logic',
6460
            'width' => 1,
6461
          },
6462
          'data_in' => {
6463
            'attributes' => {
6464
              'bin_pt' => 0,
6465
              'is_floating_block' => 1,
6466
              'must_be_hdl_vector' => 1,
6467
              'period' => 1,
6468
              'port_id' => 0,
6469
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/data_in',
6470
              'type' => 'Bool',
6471
            },
6472
            'direction' => 'in',
6473
            'hdlType' => 'std_logic_vector(0 downto 0)',
6474
            'width' => 1,
6475
          },
6476
          'dout' => {
6477
            'attributes' => {
6478
              'bin_pt' => 0,
6479
              'is_floating_block' => 1,
6480
              'must_be_hdl_vector' => 1,
6481
              'period' => 1,
6482
              'port_id' => 0,
6483
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/dout',
6484
              'type' => 'Bool',
6485
            },
6486
            'direction' => 'out',
6487
            'hdlType' => 'std_logic_vector(0 downto 0)',
6488
            'width' => 1,
6489
          },
6490
          'en' => {
6491
            'attributes' => {
6492
              'bin_pt' => 0,
6493
              'is_floating_block' => 1,
6494
              'must_be_hdl_vector' => 1,
6495
              'period' => 1,
6496
              'port_id' => 1,
6497
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/en',
6498
              'type' => 'Bool',
6499
            },
6500
            'direction' => 'in',
6501
            'hdlType' => 'std_logic_vector(0 downto 0)',
6502
            'width' => 1,
6503
          },
6504
        },
6505
      },
6506
      'entityName' => 'x_x55',
6507
    },
6508
    'to_register5' => {
6509
      'connections' => {
6510
        'ce' => 'ce_1_sg_x0',
6511
        'clk' => 'clk_1_sg_x0',
6512
        'clr' => [
6513
          'constant',
6514
          '\'0\'',
6515
        ],
6516
        'data_in' => 'data_in_x22_net',
6517
        'dout' => 'to_register5_dout_net',
6518
        'en' => 'constant6_op_net_x23',
6519
      },
6520
      'entity' => {
6521
        'attributes' => {
6522
          'generics' => [
6523
          ],
6524
          'is_floating_block' => 1,
6525
          'mask' => {
6526
            'Block_Handle' => 2455.00048828125,
6527
            'Block_handle' => 2455.00048828125,
6528
            'MDL_Handle' => 2083.00048828125,
6529
            'MDL_handle' => 2083.00048828125,
6530
            'arith_type' => 1,
6531
            'bin_pt' => 14,
6532
            'block_config' => 'sysgen_blockset:toreg_config',
6533
            'block_handle' => 2455.00048828125,
6534
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5',
6535
            'block_type' => 'toreg',
6536
            'dbl_ovrd' => 0,
6537
            'explicit_data_type' => 0,
6538
            'gui_display_data_type' => 1,
6539
            'init' => 0,
6540
            'init_bit_vector' => '\'b0',
6541
            'mdl_handle' => 2083.00048828125,
6542
            'model_handle' => 2083.00048828125,
6543
            'n_bits' => 16,
6544
            'ownership' => 1,
6545
            'preci_type' => 1,
6546
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6547
            'shared_memory_name' => 'register03rv',
6548
          },
6549
          'needs_vhdl_wrapper' => 0,
6550
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5',
6551
        },
6552
        'entityName' => 'x_x56',
6553
        'ports' => {
6554
          'ce' => {
6555
            'attributes' => {
6556
              'domain' => '',
6557
              'group' => 1,
6558
              'isCe' => 1,
6559
              'is_floating_block' => 1,
6560
              'period' => 1,
6561
              'type' => 'logic',
6562
            },
6563
            'direction' => 'in',
6564
            'hdlType' => 'std_logic',
6565
            'width' => 1,
6566
          },
6567
          'clk' => {
6568
            'attributes' => {
6569
              'domain' => '',
6570
              'group' => 1,
6571
              'isClk' => 1,
6572
              'is_floating_block' => 1,
6573
              'period' => 1,
6574
              'type' => 'logic',
6575
            },
6576
            'direction' => 'in',
6577
            'hdlType' => 'std_logic',
6578
            'width' => 1,
6579
          },
6580
          'clr' => {
6581
            'attributes' => {
6582
              'domain' => '',
6583
              'group' => 1,
6584
              'isClr' => 1,
6585
              'is_floating_block' => 1,
6586
              'period' => 1,
6587
              'type' => 'logic',
6588
              'valid_bit_used' => 0,
6589
            },
6590
            'direction' => 'in',
6591
            'hdlType' => 'std_logic',
6592
            'width' => 1,
6593
          },
6594
          'data_in' => {
6595
            'attributes' => {
6596
              'bin_pt' => 0,
6597
              'is_floating_block' => 1,
6598
              'must_be_hdl_vector' => 1,
6599
              'period' => 1,
6600
              'port_id' => 0,
6601
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/data_in',
6602
              'type' => 'Bool',
6603
            },
6604
            'direction' => 'in',
6605
            'hdlType' => 'std_logic_vector(0 downto 0)',
6606
            'width' => 1,
6607
          },
6608
          'dout' => {
6609
            'attributes' => {
6610
              'bin_pt' => 0,
6611
              'is_floating_block' => 1,
6612
              'must_be_hdl_vector' => 1,
6613
              'period' => 1,
6614
              'port_id' => 0,
6615
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/dout',
6616
              'type' => 'Bool',
6617
            },
6618
            'direction' => 'out',
6619
            'hdlType' => 'std_logic_vector(0 downto 0)',
6620
            'width' => 1,
6621
          },
6622
          'en' => {
6623
            'attributes' => {
6624
              'bin_pt' => 0,
6625
              'is_floating_block' => 1,
6626
              'must_be_hdl_vector' => 1,
6627
              'period' => 1,
6628
              'port_id' => 1,
6629
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/en',
6630
              'type' => 'Bool',
6631
            },
6632
            'direction' => 'in',
6633
            'hdlType' => 'std_logic_vector(0 downto 0)',
6634
            'width' => 1,
6635
          },
6636
        },
6637
      },
6638
      'entityName' => 'x_x56',
6639
    },
6640
    'to_register6' => {
6641
      'connections' => {
6642
        'ce' => 'ce_1_sg_x0',
6643
        'clk' => 'clk_1_sg_x0',
6644
        'clr' => [
6645
          'constant',
6646
          '\'0\'',
6647
        ],
6648
        'data_in' => 'data_in_x23_net',
6649
        'dout' => 'to_register6_dout_net',
6650
        'en' => 'constant6_op_net_x24',
6651
      },
6652
      'entity' => {
6653
        'attributes' => {
6654
          'generics' => [
6655
          ],
6656
          'is_floating_block' => 1,
6657
          'mask' => {
6658
            'Block_Handle' => 2456.00048828125,
6659
            'Block_handle' => 2456.00048828125,
6660
            'MDL_Handle' => 2083.00048828125,
6661
            'MDL_handle' => 2083.00048828125,
6662
            'arith_type' => 1,
6663
            'bin_pt' => 14,
6664
            'block_config' => 'sysgen_blockset:toreg_config',
6665
            'block_handle' => 2456.00048828125,
6666
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6',
6667
            'block_type' => 'toreg',
6668
            'dbl_ovrd' => 0,
6669
            'explicit_data_type' => 0,
6670
            'gui_display_data_type' => 1,
6671
            'init' => 0,
6672
            'init_bit_vector' => '\'b00000000000000000000000000000000',
6673
            'mdl_handle' => 2083.00048828125,
6674
            'model_handle' => 2083.00048828125,
6675
            'n_bits' => 16,
6676
            'ownership' => 1,
6677
            'preci_type' => 1,
6678
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6679
            'shared_memory_name' => 'register04rd',
6680
          },
6681
          'needs_vhdl_wrapper' => 0,
6682
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6',
6683
        },
6684
        'entityName' => 'x_x57',
6685
        'ports' => {
6686
          'ce' => {
6687
            'attributes' => {
6688
              'domain' => '',
6689
              'group' => 1,
6690
              'isCe' => 1,
6691
              'is_floating_block' => 1,
6692
              'period' => 1,
6693
              'type' => 'logic',
6694
            },
6695
            'direction' => 'in',
6696
            'hdlType' => 'std_logic',
6697
            'width' => 1,
6698
          },
6699
          'clk' => {
6700
            'attributes' => {
6701
              'domain' => '',
6702
              'group' => 1,
6703
              'isClk' => 1,
6704
              'is_floating_block' => 1,
6705
              'period' => 1,
6706
              'type' => 'logic',
6707
            },
6708
            'direction' => 'in',
6709
            'hdlType' => 'std_logic',
6710
            'width' => 1,
6711
          },
6712
          'clr' => {
6713
            'attributes' => {
6714
              'domain' => '',
6715
              'group' => 1,
6716
              'isClr' => 1,
6717
              'is_floating_block' => 1,
6718
              'period' => 1,
6719
              'type' => 'logic',
6720
              'valid_bit_used' => 0,
6721
            },
6722
            'direction' => 'in',
6723
            'hdlType' => 'std_logic',
6724
            'width' => 1,
6725
          },
6726
          'data_in' => {
6727
            'attributes' => {
6728
              'bin_pt' => 0,
6729
              'is_floating_block' => 1,
6730
              'must_be_hdl_vector' => 1,
6731
              'period' => 1,
6732
              'port_id' => 0,
6733
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/data_in',
6734
              'type' => 'UFix_32_0',
6735
            },
6736
            'direction' => 'in',
6737
            'hdlType' => 'std_logic_vector(31 downto 0)',
6738
            'width' => 32,
6739
          },
6740
          'dout' => {
6741
            'attributes' => {
6742
              'bin_pt' => 0,
6743
              'is_floating_block' => 1,
6744
              'must_be_hdl_vector' => 1,
6745
              'period' => 1,
6746
              'port_id' => 0,
6747
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/dout',
6748
              'type' => 'UFix_32_0',
6749
            },
6750
            'direction' => 'out',
6751
            'hdlType' => 'std_logic_vector(31 downto 0)',
6752
            'width' => 32,
6753
          },
6754
          'en' => {
6755
            'attributes' => {
6756
              'bin_pt' => 0,
6757
              'is_floating_block' => 1,
6758
              'must_be_hdl_vector' => 1,
6759
              'period' => 1,
6760
              'port_id' => 1,
6761
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/en',
6762
              'type' => 'Bool',
6763
            },
6764
            'direction' => 'in',
6765
            'hdlType' => 'std_logic_vector(0 downto 0)',
6766
            'width' => 1,
6767
          },
6768
        },
6769
      },
6770
      'entityName' => 'x_x57',
6771
    },
6772
    'to_register7' => {
6773
      'connections' => {
6774
        'ce' => 'ce_1_sg_x0',
6775
        'clk' => 'clk_1_sg_x0',
6776
        'clr' => [
6777
          'constant',
6778
          '\'0\'',
6779
        ],
6780
        'data_in' => 'data_in_x24_net',
6781
        'dout' => 'to_register7_dout_net',
6782
        'en' => 'constant6_op_net_x25',
6783
      },
6784
      'entity' => {
6785
        'attributes' => {
6786
          'generics' => [
6787
          ],
6788
          'is_floating_block' => 1,
6789
          'mask' => {
6790
            'Block_Handle' => 2457.00048828125,
6791
            'Block_handle' => 2457.00048828125,
6792
            'MDL_Handle' => 2083.00048828125,
6793
            'MDL_handle' => 2083.00048828125,
6794
            'arith_type' => 1,
6795
            'bin_pt' => 14,
6796
            'block_config' => 'sysgen_blockset:toreg_config',
6797
            'block_handle' => 2457.00048828125,
6798
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7',
6799
            'block_type' => 'toreg',
6800
            'dbl_ovrd' => 0,
6801
            'explicit_data_type' => 0,
6802
            'gui_display_data_type' => 1,
6803
            'init' => 0,
6804
            'init_bit_vector' => '\'b0',
6805
            'mdl_handle' => 2083.00048828125,
6806
            'model_handle' => 2083.00048828125,
6807
            'n_bits' => 16,
6808
            'ownership' => 1,
6809
            'preci_type' => 1,
6810
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6811
            'shared_memory_name' => 'register04rv',
6812
          },
6813
          'needs_vhdl_wrapper' => 0,
6814
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7',
6815
        },
6816
        'entityName' => 'x_x58',
6817
        'ports' => {
6818
          'ce' => {
6819
            'attributes' => {
6820
              'domain' => '',
6821
              'group' => 1,
6822
              'isCe' => 1,
6823
              'is_floating_block' => 1,
6824
              'period' => 1,
6825
              'type' => 'logic',
6826
            },
6827
            'direction' => 'in',
6828
            'hdlType' => 'std_logic',
6829
            'width' => 1,
6830
          },
6831
          'clk' => {
6832
            'attributes' => {
6833
              'domain' => '',
6834
              'group' => 1,
6835
              'isClk' => 1,
6836
              'is_floating_block' => 1,
6837
              'period' => 1,
6838
              'type' => 'logic',
6839
            },
6840
            'direction' => 'in',
6841
            'hdlType' => 'std_logic',
6842
            'width' => 1,
6843
          },
6844
          'clr' => {
6845
            'attributes' => {
6846
              'domain' => '',
6847
              'group' => 1,
6848
              'isClr' => 1,
6849
              'is_floating_block' => 1,
6850
              'period' => 1,
6851
              'type' => 'logic',
6852
              'valid_bit_used' => 0,
6853
            },
6854
            'direction' => 'in',
6855
            'hdlType' => 'std_logic',
6856
            'width' => 1,
6857
          },
6858
          'data_in' => {
6859
            'attributes' => {
6860
              'bin_pt' => 0,
6861
              'is_floating_block' => 1,
6862
              'must_be_hdl_vector' => 1,
6863
              'period' => 1,
6864
              'port_id' => 0,
6865
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/data_in',
6866
              'type' => 'Bool',
6867
            },
6868
            'direction' => 'in',
6869
            'hdlType' => 'std_logic_vector(0 downto 0)',
6870
            'width' => 1,
6871
          },
6872
          'dout' => {
6873
            'attributes' => {
6874
              'bin_pt' => 0,
6875
              'is_floating_block' => 1,
6876
              'must_be_hdl_vector' => 1,
6877
              'period' => 1,
6878
              'port_id' => 0,
6879
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/dout',
6880
              'type' => 'Bool',
6881
            },
6882
            'direction' => 'out',
6883
            'hdlType' => 'std_logic_vector(0 downto 0)',
6884
            'width' => 1,
6885
          },
6886
          'en' => {
6887
            'attributes' => {
6888
              'bin_pt' => 0,
6889
              'is_floating_block' => 1,
6890
              'must_be_hdl_vector' => 1,
6891
              'period' => 1,
6892
              'port_id' => 1,
6893
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/en',
6894
              'type' => 'Bool',
6895
            },
6896
            'direction' => 'in',
6897
            'hdlType' => 'std_logic_vector(0 downto 0)',
6898
            'width' => 1,
6899
          },
6900
        },
6901
      },
6902
      'entityName' => 'x_x58',
6903
    },
6904
    'to_register8' => {
6905
      'connections' => {
6906
        'ce' => 'ce_1_sg_x0',
6907
        'clk' => 'clk_1_sg_x0',
6908
        'clr' => [
6909
          'constant',
6910
          '\'0\'',
6911
        ],
6912
        'data_in' => 'data_in_x25_net',
6913
        'dout' => 'to_register8_dout_net',
6914
        'en' => 'constant6_op_net_x26',
6915
      },
6916
      'entity' => {
6917
        'attributes' => {
6918
          'generics' => [
6919
          ],
6920
          'is_floating_block' => 1,
6921
          'mask' => {
6922
            'Block_Handle' => 2458.00048828125,
6923
            'Block_handle' => 2458.00048828125,
6924
            'MDL_Handle' => 2083.00048828125,
6925
            'MDL_handle' => 2083.00048828125,
6926
            'arith_type' => 1,
6927
            'bin_pt' => 14,
6928
            'block_config' => 'sysgen_blockset:toreg_config',
6929
            'block_handle' => 2458.00048828125,
6930
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8',
6931
            'block_type' => 'toreg',
6932
            'dbl_ovrd' => 0,
6933
            'explicit_data_type' => 0,
6934
            'gui_display_data_type' => 1,
6935
            'init' => 0,
6936
            'init_bit_vector' => '\'b00000000000000000000000000000000',
6937
            'mdl_handle' => 2083.00048828125,
6938
            'model_handle' => 2083.00048828125,
6939
            'n_bits' => 16,
6940
            'ownership' => 1,
6941
            'preci_type' => 1,
6942
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6943
            'shared_memory_name' => 'register05rd',
6944
          },
6945
          'needs_vhdl_wrapper' => 0,
6946
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8',
6947
        },
6948
        'entityName' => 'x_x59',
6949
        'ports' => {
6950
          'ce' => {
6951
            'attributes' => {
6952
              'domain' => '',
6953
              'group' => 1,
6954
              'isCe' => 1,
6955
              'is_floating_block' => 1,
6956
              'period' => 1,
6957
              'type' => 'logic',
6958
            },
6959
            'direction' => 'in',
6960
            'hdlType' => 'std_logic',
6961
            'width' => 1,
6962
          },
6963
          'clk' => {
6964
            'attributes' => {
6965
              'domain' => '',
6966
              'group' => 1,
6967
              'isClk' => 1,
6968
              'is_floating_block' => 1,
6969
              'period' => 1,
6970
              'type' => 'logic',
6971
            },
6972
            'direction' => 'in',
6973
            'hdlType' => 'std_logic',
6974
            'width' => 1,
6975
          },
6976
          'clr' => {
6977
            'attributes' => {
6978
              'domain' => '',
6979
              'group' => 1,
6980
              'isClr' => 1,
6981
              'is_floating_block' => 1,
6982
              'period' => 1,
6983
              'type' => 'logic',
6984
              'valid_bit_used' => 0,
6985
            },
6986
            'direction' => 'in',
6987
            'hdlType' => 'std_logic',
6988
            'width' => 1,
6989
          },
6990
          'data_in' => {
6991
            'attributes' => {
6992
              'bin_pt' => 0,
6993
              'is_floating_block' => 1,
6994
              'must_be_hdl_vector' => 1,
6995
              'period' => 1,
6996
              'port_id' => 0,
6997
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/data_in',
6998
              'type' => 'UFix_32_0',
6999
            },
7000
            'direction' => 'in',
7001
            'hdlType' => 'std_logic_vector(31 downto 0)',
7002
            'width' => 32,
7003
          },
7004
          'dout' => {
7005
            'attributes' => {
7006
              'bin_pt' => 0,
7007
              'is_floating_block' => 1,
7008
              'must_be_hdl_vector' => 1,
7009
              'period' => 1,
7010
              'port_id' => 0,
7011
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/dout',
7012
              'type' => 'UFix_32_0',
7013
            },
7014
            'direction' => 'out',
7015
            'hdlType' => 'std_logic_vector(31 downto 0)',
7016
            'width' => 32,
7017
          },
7018
          'en' => {
7019
            'attributes' => {
7020
              'bin_pt' => 0,
7021
              'is_floating_block' => 1,
7022
              'must_be_hdl_vector' => 1,
7023
              'period' => 1,
7024
              'port_id' => 1,
7025
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/en',
7026
              'type' => 'Bool',
7027
            },
7028
            'direction' => 'in',
7029
            'hdlType' => 'std_logic_vector(0 downto 0)',
7030
            'width' => 1,
7031
          },
7032
        },
7033
      },
7034
      'entityName' => 'x_x59',
7035
    },
7036
    'to_register9' => {
7037
      'connections' => {
7038
        'ce' => 'ce_1_sg_x0',
7039
        'clk' => 'clk_1_sg_x0',
7040
        'clr' => [
7041
          'constant',
7042
          '\'0\'',
7043
        ],
7044
        'data_in' => 'data_in_x26_net',
7045
        'dout' => 'to_register9_dout_net',
7046
        'en' => 'constant6_op_net_x27',
7047
      },
7048
      'entity' => {
7049
        'attributes' => {
7050
          'generics' => [
7051
          ],
7052
          'is_floating_block' => 1,
7053
          'mask' => {
7054
            'Block_Handle' => 2459.00048828125,
7055
            'Block_handle' => 2459.00048828125,
7056
            'MDL_Handle' => 2083.00048828125,
7057
            'MDL_handle' => 2083.00048828125,
7058
            'arith_type' => 1,
7059
            'bin_pt' => 14,
7060
            'block_config' => 'sysgen_blockset:toreg_config',
7061
            'block_handle' => 2459.00048828125,
7062
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9',
7063
            'block_type' => 'toreg',
7064
            'dbl_ovrd' => 0,
7065
            'explicit_data_type' => 0,
7066
            'gui_display_data_type' => 1,
7067
            'init' => 0,
7068
            'init_bit_vector' => '\'b00000000000000000000000000000000',
7069
            'mdl_handle' => 2083.00048828125,
7070
            'model_handle' => 2083.00048828125,
7071
            'n_bits' => 16,
7072
            'ownership' => 1,
7073
            'preci_type' => 1,
7074
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7075
            'shared_memory_name' => 'register06rd',
7076
          },
7077
          'needs_vhdl_wrapper' => 0,
7078
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9',
7079
        },
7080
        'entityName' => 'x_x60',
7081
        'ports' => {
7082
          'ce' => {
7083
            'attributes' => {
7084
              'domain' => '',
7085
              'group' => 1,
7086
              'isCe' => 1,
7087
              'is_floating_block' => 1,
7088
              'period' => 1,
7089
              'type' => 'logic',
7090
            },
7091
            'direction' => 'in',
7092
            'hdlType' => 'std_logic',
7093
            'width' => 1,
7094
          },
7095
          'clk' => {
7096
            'attributes' => {
7097
              'domain' => '',
7098
              'group' => 1,
7099
              'isClk' => 1,
7100
              'is_floating_block' => 1,
7101
              'period' => 1,
7102
              'type' => 'logic',
7103
            },
7104
            'direction' => 'in',
7105
            'hdlType' => 'std_logic',
7106
            'width' => 1,
7107
          },
7108
          'clr' => {
7109
            'attributes' => {
7110
              'domain' => '',
7111
              'group' => 1,
7112
              'isClr' => 1,
7113
              'is_floating_block' => 1,
7114
              'period' => 1,
7115
              'type' => 'logic',
7116
              'valid_bit_used' => 0,
7117
            },
7118
            'direction' => 'in',
7119
            'hdlType' => 'std_logic',
7120
            'width' => 1,
7121
          },
7122
          'data_in' => {
7123
            'attributes' => {
7124
              'bin_pt' => 0,
7125
              'is_floating_block' => 1,
7126
              'must_be_hdl_vector' => 1,
7127
              'period' => 1,
7128
              'port_id' => 0,
7129
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/data_in',
7130
              'type' => 'UFix_32_0',
7131
            },
7132
            'direction' => 'in',
7133
            'hdlType' => 'std_logic_vector(31 downto 0)',
7134
            'width' => 32,
7135
          },
7136
          'dout' => {
7137
            'attributes' => {
7138
              'bin_pt' => 0,
7139
              'is_floating_block' => 1,
7140
              'must_be_hdl_vector' => 1,
7141
              'period' => 1,
7142
              'port_id' => 0,
7143
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/dout',
7144
              'type' => 'UFix_32_0',
7145
            },
7146
            'direction' => 'out',
7147
            'hdlType' => 'std_logic_vector(31 downto 0)',
7148
            'width' => 32,
7149
          },
7150
          'en' => {
7151
            'attributes' => {
7152
              'bin_pt' => 0,
7153
              'is_floating_block' => 1,
7154
              'must_be_hdl_vector' => 1,
7155
              'period' => 1,
7156
              'port_id' => 1,
7157
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/en',
7158
              'type' => 'Bool',
7159
            },
7160
            'direction' => 'in',
7161
            'hdlType' => 'std_logic_vector(0 downto 0)',
7162
            'width' => 1,
7163
          },
7164
        },
7165
      },
7166
      'entityName' => 'x_x60',
7167
    },
7168
    'user_int_1o' => {
7169
      'connections' => {
7170
        'user_int_1o' => 'user_int_1o_net',
7171
      },
7172
      'entity' => {
7173
        'attributes' => {
7174
          'isGateway' => 1,
7175
          'is_floating_block' => 1,
7176
        },
7177
        'entityName' => 'user_int_1o',
7178
        'ports' => {
7179
          'user_int_1o' => {
7180
            'attributes' => {
7181
              'bin_pt' => 0,
7182
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_1o.dat',
7183
              'is_floating_block' => 1,
7184
              'is_gateway_port' => 1,
7185
              'must_be_hdl_vector' => 1,
7186
              'period' => 1,
7187
              'port_id' => 0,
7188
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_1o/user_int_1o',
7189
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_1o',
7190
              'timingConstraint' => 'none',
7191
              'type' => 'Bool',
7192
            },
7193
            'direction' => 'in',
7194
            'hdlType' => 'std_logic',
7195
            'width' => 1,
7196
          },
7197
        },
7198
      },
7199
      'entityName' => 'user_int_1o',
7200
    },
7201
    'user_int_2o' => {
7202
      'connections' => {
7203
        'user_int_2o' => 'user_int_2o_net',
7204
      },
7205
      'entity' => {
7206
        'attributes' => {
7207
          'isGateway' => 1,
7208
          'is_floating_block' => 1,
7209
        },
7210
        'entityName' => 'user_int_2o',
7211
        'ports' => {
7212
          'user_int_2o' => {
7213
            'attributes' => {
7214
              'bin_pt' => 0,
7215
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_2o.dat',
7216
              'is_floating_block' => 1,
7217
              'is_gateway_port' => 1,
7218
              'must_be_hdl_vector' => 1,
7219
              'period' => 1,
7220
              'port_id' => 0,
7221
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_2o/user_int_2o',
7222
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_2o',
7223
              'timingConstraint' => 'none',
7224
              'type' => 'Bool',
7225
            },
7226
            'direction' => 'in',
7227
            'hdlType' => 'std_logic',
7228
            'width' => 1,
7229
          },
7230
        },
7231
      },
7232
      'entityName' => 'user_int_2o',
7233
    },
7234
    'user_int_3o' => {
7235
      'connections' => {
7236
        'user_int_3o' => 'user_int_3o_net',
7237
      },
7238
      'entity' => {
7239
        'attributes' => {
7240
          'isGateway' => 1,
7241
          'is_floating_block' => 1,
7242
        },
7243
        'entityName' => 'user_int_3o',
7244
        'ports' => {
7245
          'user_int_3o' => {
7246
            'attributes' => {
7247
              'bin_pt' => 0,
7248
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_3o.dat',
7249
              'is_floating_block' => 1,
7250
              'is_gateway_port' => 1,
7251
              'must_be_hdl_vector' => 1,
7252
              'period' => 1,
7253
              'port_id' => 0,
7254
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_3o/user_int_3o',
7255
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_3o',
7256
              'timingConstraint' => 'none',
7257
              'type' => 'Bool',
7258
            },
7259
            'direction' => 'in',
7260
            'hdlType' => 'std_logic',
7261
            'width' => 1,
7262
          },
7263
        },
7264
      },
7265
      'entityName' => 'user_int_3o',
7266
    },
7267
    'user_logic' => {
7268
      'connections' => {
7269
        'bram_rd_addr' => 'bram_rd_addr_net',
7270
        'bram_rd_dout' => 'bram_rd_dout_net',
7271
        'bram_wr_addr' => 'bram_wr_addr_net',
7272
        'bram_wr_din' => 'bram_wr_din_net',
7273
        'bram_wr_en' => 'bram_wr_en_net',
7274
        'ce_1' => 'ce_1_sg_x0',
7275
        'clk_1' => 'clk_1_sg_x0',
7276
        'data_in' => 'data_in_net',
7277
        'data_in_x0' => 'data_in_x0_net',
7278
        'data_in_x1' => 'data_in_x1_net',
7279
        'data_in_x10' => 'data_in_x10_net',
7280
        'data_in_x11' => 'data_in_x11_net',
7281
        'data_in_x12' => 'data_in_x12_net',
7282
        'data_in_x13' => 'data_in_x13_net',
7283
        'data_in_x14' => 'data_in_x14_net',
7284
        'data_in_x15' => 'data_in_x15_net',
7285
        'data_in_x16' => 'data_in_x16_net',
7286
        'data_in_x17' => 'data_in_x17_net',
7287
        'data_in_x18' => 'data_in_x18_net',
7288
        'data_in_x19' => 'data_in_x19_net',
7289
        'data_in_x2' => 'data_in_x2_net',
7290
        'data_in_x20' => 'data_in_x20_net',
7291
        'data_in_x21' => 'data_in_x21_net',
7292
        'data_in_x22' => 'data_in_x22_net',
7293
        'data_in_x23' => 'data_in_x23_net',
7294
        'data_in_x24' => 'data_in_x24_net',
7295
        'data_in_x25' => 'data_in_x25_net',
7296
        'data_in_x26' => 'data_in_x26_net',
7297
        'data_in_x3' => 'data_in_x3_net',
7298
        'data_in_x4' => 'data_in_x4_net',
7299
        'data_in_x5' => 'data_in_x5_net',
7300
        'data_in_x6' => 'data_in_x6_net',
7301
        'data_in_x7' => 'data_in_x7_net',
7302
        'data_in_x8' => 'data_in_x8_net',
7303
        'data_in_x9' => 'data_in_x9_net',
7304
        'data_out_x1' => 'data_out_x1_net',
7305
        'data_out_x12' => 'data_out_x12_net',
7306
        'data_out_x13' => 'data_out_x13_net',
7307
        'data_out_x14' => 'data_out_x14_net',
7308
        'data_out_x15' => 'data_out_x15_net',
7309
        'data_out_x16' => 'data_out_x16_net',
7310
        'data_out_x17' => 'data_out_x17_net',
7311
        'data_out_x18' => 'data_out_x18_net',
7312
        'data_out_x19' => 'data_out_x19_net',
7313
        'data_out_x2' => 'data_out_x2_net',
7314
        'data_out_x20' => 'data_out_x20_net',
7315
        'data_out_x21' => 'data_out_x21_net',
7316
        'data_out_x22' => 'data_out_x22_net',
7317
        'data_out_x23' => 'data_out_x23_net',
7318
        'data_out_x24' => 'data_out_x24_net',
7319
        'data_out_x25' => 'data_out_x25_net',
7320
        'data_out_x26' => 'data_out_x26_net',
7321
        'data_out_x27' => 'data_out_x27_net',
7322
        'data_out_x28' => 'data_out_x28_net',
7323
        'data_out_x29' => 'data_out_x29_net',
7324
        'data_out_x3' => 'data_out_x3_net',
7325
        'data_out_x30' => 'data_out_x30_net',
7326
        'data_out_x31' => 'data_out_x31_net',
7327
        'data_out_x32' => 'data_out_x32_net',
7328
        'data_out_x4' => 'data_out_x4_net',
7329
        'data_out_x5' => 'data_out_x5_net',
7330
        'data_out_x8' => 'data_out_x8_net',
7331
        'data_out_x9' => 'data_out_x9_net',
7332
        'en' => 'constant6_op_net_x0',
7333
        'en_x0' => 'constant6_op_net_x1',
7334
        'en_x1' => 'constant6_op_net_x2',
7335
        'en_x10' => 'constant6_op_net_x11',
7336
        'en_x11' => 'constant6_op_net_x12',
7337
        'en_x12' => 'constant6_op_net_x13',
7338
        'en_x13' => 'constant6_op_net_x14',
7339
        'en_x14' => 'constant6_op_net_x15',
7340
        'en_x15' => 'constant6_op_net_x16',
7341
        'en_x16' => 'constant6_op_net_x17',
7342
        'en_x17' => 'constant6_op_net_x18',
7343
        'en_x18' => 'constant6_op_net_x19',
7344
        'en_x19' => 'constant6_op_net_x20',
7345
        'en_x2' => 'constant6_op_net_x3',
7346
        'en_x20' => 'constant6_op_net_x21',
7347
        'en_x21' => 'constant6_op_net_x22',
7348
        'en_x22' => 'constant6_op_net_x23',
7349
        'en_x23' => 'constant6_op_net_x24',
7350
        'en_x24' => 'constant6_op_net_x25',
7351
        'en_x25' => 'constant6_op_net_x26',
7352
        'en_x26' => 'constant6_op_net_x27',
7353
        'en_x3' => 'constant6_op_net_x4',
7354
        'en_x4' => 'constant6_op_net_x5',
7355
        'en_x5' => 'constant6_op_net_x6',
7356
        'en_x6' => 'constant6_op_net_x7',
7357
        'en_x7' => 'constant6_op_net_x8',
7358
        'en_x8' => 'constant6_op_net_x9',
7359
        'en_x9' => 'constant6_op_net_x10',
7360
        'fifo_rd_count_x0' => 'fifo_rd_count_net',
7361
        'fifo_rd_dout' => 'fifo_rd_dout_net',
7362
        'fifo_rd_empty' => 'fifo_rd_empty_net',
7363
        'fifo_rd_en_x1' => 'fifo_rd_en_net',
7364
        'fifo_rd_pempty_x0' => 'fifo_rd_pempty_net',
7365
        'fifo_rd_valid' => 'fifo_rd_valid_net',
7366
        'fifo_wr_count_x0' => 'fifo_wr_count_net',
7367
        'fifo_wr_din' => 'fifo_wr_din_net',
7368
        'fifo_wr_en_x0' => 'fifo_wr_en_net',
7369
        'fifo_wr_full_x0' => 'fifo_wr_full_net',
7370
        'fifo_wr_pfull_x0' => 'fifo_wr_pfull_net',
7371
        'rst_i' => 'rst_i_net',
7372
        'rst_o' => 'rst_o_net',
7373
        'user_int_1o' => 'user_int_1o_net',
7374
        'user_int_2o' => 'user_int_2o_net',
7375
        'user_int_3o' => 'user_int_3o_net',
7376
      },
7377
      'entity' => {
7378
        'attributes' => {
7379
          'entityAlreadyNetlisted' => 1,
7380
          'hdlKind' => 'vhdl',
7381
          'isDesign' => 1,
7382
          'simulinkName' => 'USER_LOGIC',
7383
        },
7384
        'entityName' => 'user_logic',
7385
        'ports' => {
7386
          'bram_rd_addr' => {
7387
            'attributes' => {
7388
              'bin_pt' => 0,
7389
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_addr.dat',
7390
              'is_floating_block' => 1,
7391
              'is_gateway_port' => 1,
7392
              'must_be_hdl_vector' => 1,
7393
              'period' => 1,
7394
              'port_id' => 15,
7395
              'simulinkName' => 'USER_LOGIC/BRAM_rd_addr',
7396
              'source_block' => 'USER_LOGIC',
7397
              'timingConstraint' => 'none',
7398
              'type' => 'UFix_12_0',
7399
            },
7400
            'direction' => 'out',
7401
            'hdlType' => 'std_logic_vector(11 downto 0)',
7402
            'width' => 12,
7403
          },
7404
          'bram_rd_dout' => {
7405
            'attributes' => {
7406
              'bin_pt' => 0,
7407
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_dout.dat',
7408
              'is_floating_block' => 1,
7409
              'is_gateway_port' => 1,
7410
              'must_be_hdl_vector' => 1,
7411
              'period' => 1,
7412
              'port_id' => 0,
7413
              'simulinkName' => 'USER_LOGIC/BRAM_rd_dout',
7414
              'source_block' => 'USER_LOGIC',
7415
              'timingConstraint' => 'none',
7416
              'type' => 'UFix_64_0',
7417
            },
7418
            'direction' => 'in',
7419
            'hdlType' => 'std_logic_vector(63 downto 0)',
7420
            'width' => 64,
7421
          },
7422
          'bram_wr_addr' => {
7423
            'attributes' => {
7424
              'bin_pt' => 0,
7425
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_addr.dat',
7426
              'is_floating_block' => 1,
7427
              'is_gateway_port' => 1,
7428
              'must_be_hdl_vector' => 1,
7429
              'period' => 1,
7430
              'port_id' => 16,
7431
              'simulinkName' => 'USER_LOGIC/BRAM_wr_addr',
7432
              'source_block' => 'USER_LOGIC',
7433
              'timingConstraint' => 'none',
7434
              'type' => 'UFix_12_0',
7435
            },
7436
            'direction' => 'out',
7437
            'hdlType' => 'std_logic_vector(11 downto 0)',
7438
            'width' => 12,
7439
          },
7440
          'bram_wr_din' => {
7441
            'attributes' => {
7442
              'bin_pt' => 0,
7443
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_din.dat',
7444
              'is_floating_block' => 1,
7445
              'is_gateway_port' => 1,
7446
              'must_be_hdl_vector' => 1,
7447
              'period' => 1,
7448
              'port_id' => 18,
7449
              'simulinkName' => 'USER_LOGIC/BRAM_wr_din',
7450
              'source_block' => 'USER_LOGIC',
7451
              'timingConstraint' => 'none',
7452
              'type' => 'UFix_64_0',
7453
            },
7454
            'direction' => 'out',
7455
            'hdlType' => 'std_logic_vector(63 downto 0)',
7456
            'width' => 64,
7457
          },
7458
          'bram_wr_en' => {
7459
            'attributes' => {
7460
              'bin_pt' => 0,
7461
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_en.dat',
7462
              'is_floating_block' => 1,
7463
              'is_gateway_port' => 1,
7464
              'must_be_hdl_vector' => 1,
7465
              'period' => 1,
7466
              'port_id' => 23,
7467
              'simulinkName' => 'USER_LOGIC/BRAM_wr_en',
7468
              'source_block' => 'USER_LOGIC',
7469
              'timingConstraint' => 'none',
7470
              'type' => 'UFix_8_0',
7471
            },
7472
            'direction' => 'out',
7473
            'hdlType' => 'std_logic_vector(7 downto 0)',
7474
            'width' => 8,
7475
          },
7476
          'ce_1' => {
7477
            'attributes' => {
7478
              'domain' => '',
7479
              'group' => 1,
7480
              'isCe' => 1,
7481
              'is_subsys_port' => 1,
7482
              'period' => 1,
7483
              'subsys_port_index' => 0,
7484
              'type' => 'logic',
7485
            },
7486
            'direction' => 'in',
7487
            'hdlType' => 'std_logic',
7488
            'width' => 1,
7489
          },
7490
          'clk_1' => {
7491
            'attributes' => {
7492
              'domain' => '',
7493
              'group' => 1,
7494
              'isClk' => 1,
7495
              'is_subsys_port' => 1,
7496
              'period' => 1,
7497
              'subsys_port_index' => 0,
7498
              'type' => 'logic',
7499
            },
7500
            'direction' => 'in',
7501
            'hdlType' => 'std_logic',
7502
            'width' => 1,
7503
          },
7504
          'data_in' => {
7505
            'attributes' => {
7506
              'bin_pt' => 0,
7507
              'is_floating_block' => 1,
7508
              'must_be_hdl_vector' => 1,
7509
              'period' => 1,
7510
              'port_id' => 17,
7511
              'simulinkName' => 'USER_LOGIC/tx_en_in2',
7512
              'type' => 'UFix_32_0',
7513
            },
7514
            'direction' => 'out',
7515
            'hdlType' => 'std_logic_vector(31 downto 0)',
7516
            'width' => 32,
7517
          },
7518
          'data_in_x0' => {
7519
            'attributes' => {
7520
              'bin_pt' => 0,
7521
              'is_floating_block' => 1,
7522
              'must_be_hdl_vector' => 1,
7523
              'period' => 1,
7524
              'port_id' => 1,
7525
              'simulinkName' => 'USER_LOGIC/tx_en_in1',
7526
              'type' => 'Bool',
7527
            },
7528
            'direction' => 'out',
7529
            'hdlType' => 'std_logic',
7530
            'width' => 1,
7531
          },
7532
          'data_in_x1' => {
7533
            'attributes' => {
7534
              'bin_pt' => 0,
7535
              'is_floating_block' => 1,
7536
              'must_be_hdl_vector' => 1,
7537
              'period' => 1,
7538
              'port_id' => 36,
7539
              'simulinkName' => 'USER_LOGIC/tx_en_in96',
7540
              'type' => 'Bool',
7541
            },
7542
            'direction' => 'out',
7543
            'hdlType' => 'std_logic',
7544
            'width' => 1,
7545
          },
7546
          'data_in_x10' => {
7547
            'attributes' => {
7548
              'bin_pt' => 0,
7549
              'is_floating_block' => 1,
7550
              'must_be_hdl_vector' => 1,
7551
              'period' => 1,
7552
              'port_id' => 33,
7553
              'simulinkName' => 'USER_LOGIC/tx_en_in91',
7554
              'type' => 'UFix_32_0',
7555
            },
7556
            'direction' => 'out',
7557
            'hdlType' => 'std_logic_vector(31 downto 0)',
7558
            'width' => 32,
7559
          },
7560
          'data_in_x11' => {
7561
            'attributes' => {
7562
              'bin_pt' => 0,
7563
              'is_floating_block' => 1,
7564
              'must_be_hdl_vector' => 1,
7565
              'period' => 1,
7566
              'port_id' => 21,
7567
              'simulinkName' => 'USER_LOGIC/tx_en_in33',
7568
              'type' => 'UFix_32_0',
7569
            },
7570
            'direction' => 'out',
7571
            'hdlType' => 'std_logic_vector(31 downto 0)',
7572
            'width' => 32,
7573
          },
7574
          'data_in_x12' => {
7575
            'attributes' => {
7576
              'bin_pt' => 0,
7577
              'is_floating_block' => 1,
7578
              'must_be_hdl_vector' => 1,
7579
              'period' => 1,
7580
              'port_id' => 6,
7581
              'simulinkName' => 'USER_LOGIC/tx_en_in113',
7582
              'type' => 'Bool',
7583
            },
7584
            'direction' => 'out',
7585
            'hdlType' => 'std_logic',
7586
            'width' => 1,
7587
          },
7588
          'data_in_x13' => {
7589
            'attributes' => {
7590
              'bin_pt' => 0,
7591
              'is_floating_block' => 1,
7592
              'must_be_hdl_vector' => 1,
7593
              'period' => 1,
7594
              'port_id' => 8,
7595
              'simulinkName' => 'USER_LOGIC/tx_en_in115',
7596
              'type' => 'UFix_32_0',
7597
            },
7598
            'direction' => 'out',
7599
            'hdlType' => 'std_logic_vector(31 downto 0)',
7600
            'width' => 32,
7601
          },
7602
          'data_in_x14' => {
7603
            'attributes' => {
7604
              'bin_pt' => 0,
7605
              'is_floating_block' => 1,
7606
              'must_be_hdl_vector' => 1,
7607
              'period' => 1,
7608
              'port_id' => 7,
7609
              'simulinkName' => 'USER_LOGIC/tx_en_in114',
7610
              'type' => 'Bool',
7611
            },
7612
            'direction' => 'out',
7613
            'hdlType' => 'std_logic',
7614
            'width' => 1,
7615
          },
7616
          'data_in_x15' => {
7617
            'attributes' => {
7618
              'bin_pt' => 0,
7619
              'is_floating_block' => 1,
7620
              'must_be_hdl_vector' => 1,
7621
              'period' => 1,
7622
              'port_id' => 9,
7623
              'simulinkName' => 'USER_LOGIC/tx_en_in118',
7624
              'type' => 'UFix_32_0',
7625
            },
7626
            'direction' => 'out',
7627
            'hdlType' => 'std_logic_vector(31 downto 0)',
7628
            'width' => 32,
7629
          },
7630
          'data_in_x16' => {
7631
            'attributes' => {
7632
              'bin_pt' => 0,
7633
              'is_floating_block' => 1,
7634
              'must_be_hdl_vector' => 1,
7635
              'period' => 1,
7636
              'port_id' => 10,
7637
              'simulinkName' => 'USER_LOGIC/tx_en_in121',
7638
              'type' => 'Bool',
7639
            },
7640
            'direction' => 'out',
7641
            'hdlType' => 'std_logic',
7642
            'width' => 1,
7643
          },
7644
          'data_in_x17' => {
7645
            'attributes' => {
7646
              'bin_pt' => 0,
7647
              'is_floating_block' => 1,
7648
              'must_be_hdl_vector' => 1,
7649
              'period' => 1,
7650
              'port_id' => 11,
7651
              'simulinkName' => 'USER_LOGIC/tx_en_in122',
7652
              'type' => 'UFix_32_0',
7653
            },
7654
            'direction' => 'out',
7655
            'hdlType' => 'std_logic_vector(31 downto 0)',
7656
            'width' => 32,
7657
          },
7658
          'data_in_x18' => {
7659
            'attributes' => {
7660
              'bin_pt' => 0,
7661
              'is_floating_block' => 1,
7662
              'must_be_hdl_vector' => 1,
7663
              'period' => 1,
7664
              'port_id' => 12,
7665
              'simulinkName' => 'USER_LOGIC/tx_en_in125',
7666
              'type' => 'Bool',
7667
            },
7668
            'direction' => 'out',
7669
            'hdlType' => 'std_logic',
7670
            'width' => 1,
7671
          },
7672
          'data_in_x19' => {
7673
            'attributes' => {
7674
              'bin_pt' => 0,
7675
              'is_floating_block' => 1,
7676
              'must_be_hdl_vector' => 1,
7677
              'period' => 1,
7678
              'port_id' => 13,
7679
              'simulinkName' => 'USER_LOGIC/tx_en_in126',
7680
              'type' => 'UFix_32_0',
7681
            },
7682
            'direction' => 'out',
7683
            'hdlType' => 'std_logic_vector(31 downto 0)',
7684
            'width' => 32,
7685
          },
7686
          'data_in_x2' => {
7687
            'attributes' => {
7688
              'bin_pt' => 0,
7689
              'is_floating_block' => 1,
7690
              'must_be_hdl_vector' => 1,
7691
              'period' => 1,
7692
              'port_id' => 37,
7693
              'simulinkName' => 'USER_LOGIC/tx_en_in97',
7694
              'type' => 'Bool',
7695
            },
7696
            'direction' => 'out',
7697
            'hdlType' => 'std_logic',
7698
            'width' => 1,
7699
          },
7700
          'data_in_x20' => {
7701
            'attributes' => {
7702
              'bin_pt' => 0,
7703
              'is_floating_block' => 1,
7704
              'must_be_hdl_vector' => 1,
7705
              'period' => 1,
7706
              'port_id' => 2,
7707
              'simulinkName' => 'USER_LOGIC/tx_en_in10',
7708
              'type' => 'UFix_32_0',
7709
            },
7710
            'direction' => 'out',
7711
            'hdlType' => 'std_logic_vector(31 downto 0)',
7712
            'width' => 32,
7713
          },
7714
          'data_in_x21' => {
7715
            'attributes' => {
7716
              'bin_pt' => 0,
7717
              'is_floating_block' => 1,
7718
              'must_be_hdl_vector' => 1,
7719
              'period' => 1,
7720
              'port_id' => 34,
7721
              'simulinkName' => 'USER_LOGIC/tx_en_in94',
7722
              'type' => 'Bool',
7723
            },
7724
            'direction' => 'out',
7725
            'hdlType' => 'std_logic',
7726
            'width' => 1,
7727
          },
7728
          'data_in_x22' => {
7729
            'attributes' => {
7730
              'bin_pt' => 0,
7731
              'is_floating_block' => 1,
7732
              'must_be_hdl_vector' => 1,
7733
              'period' => 1,
7734
              'port_id' => 29,
7735
              'simulinkName' => 'USER_LOGIC/tx_en_in7',
7736
              'type' => 'Bool',
7737
            },
7738
            'direction' => 'out',
7739
            'hdlType' => 'std_logic',
7740
            'width' => 1,
7741
          },
7742
          'data_in_x23' => {
7743
            'attributes' => {
7744
              'bin_pt' => 0,
7745
              'is_floating_block' => 1,
7746
              'must_be_hdl_vector' => 1,
7747
              'period' => 1,
7748
              'port_id' => 24,
7749
              'simulinkName' => 'USER_LOGIC/tx_en_in50',
7750
              'type' => 'UFix_32_0',
7751
            },
7752
            'direction' => 'out',
7753
            'hdlType' => 'std_logic_vector(31 downto 0)',
7754
            'width' => 32,
7755
          },
7756
          'data_in_x24' => {
7757
            'attributes' => {
7758
              'bin_pt' => 0,
7759
              'is_floating_block' => 1,
7760
              'must_be_hdl_vector' => 1,
7761
              'period' => 1,
7762
              'port_id' => 35,
7763
              'simulinkName' => 'USER_LOGIC/tx_en_in95',
7764
              'type' => 'Bool',
7765
            },
7766
            'direction' => 'out',
7767
            'hdlType' => 'std_logic',
7768
            'width' => 1,
7769
          },
7770
          'data_in_x25' => {
7771
            'attributes' => {
7772
              'bin_pt' => 0,
7773
              'is_floating_block' => 1,
7774
              'must_be_hdl_vector' => 1,
7775
              'period' => 1,
7776
              'port_id' => 26,
7777
              'simulinkName' => 'USER_LOGIC/tx_en_in53',
7778
              'type' => 'UFix_32_0',
7779
            },
7780
            'direction' => 'out',
7781
            'hdlType' => 'std_logic_vector(31 downto 0)',
7782
            'width' => 32,
7783
          },
7784
          'data_in_x26' => {
7785
            'attributes' => {
7786
              'bin_pt' => 0,
7787
              'is_floating_block' => 1,
7788
              'must_be_hdl_vector' => 1,
7789
              'period' => 1,
7790
              'port_id' => 27,
7791
              'simulinkName' => 'USER_LOGIC/tx_en_in54',
7792
              'type' => 'UFix_32_0',
7793
            },
7794
            'direction' => 'out',
7795
            'hdlType' => 'std_logic_vector(31 downto 0)',
7796
            'width' => 32,
7797
          },
7798
          'data_in_x3' => {
7799
            'attributes' => {
7800
              'bin_pt' => 0,
7801
              'is_floating_block' => 1,
7802
              'must_be_hdl_vector' => 1,
7803
              'period' => 1,
7804
              'port_id' => 14,
7805
              'simulinkName' => 'USER_LOGIC/tx_en_in13',
7806
              'type' => 'Bool',
7807
            },
7808
            'direction' => 'out',
7809
            'hdlType' => 'std_logic',
7810
            'width' => 1,
7811
          },
7812
          'data_in_x4' => {
7813
            'attributes' => {
7814
              'bin_pt' => 0,
7815
              'is_floating_block' => 1,
7816
              'must_be_hdl_vector' => 1,
7817
              'period' => 1,
7818
              'port_id' => 28,
7819
              'simulinkName' => 'USER_LOGIC/tx_en_in66',
7820
              'type' => 'UFix_32_0',
7821
            },
7822
            'direction' => 'out',
7823
            'hdlType' => 'std_logic_vector(31 downto 0)',
7824
            'width' => 32,
7825
          },
7826
          'data_in_x5' => {
7827
            'attributes' => {
7828
              'bin_pt' => 0,
7829
              'is_floating_block' => 1,
7830
              'must_be_hdl_vector' => 1,
7831
              'period' => 1,
7832
              'port_id' => 38,
7833
              'simulinkName' => 'USER_LOGIC/tx_en_in98',
7834
              'type' => 'Bool',
7835
            },
7836
            'direction' => 'out',
7837
            'hdlType' => 'std_logic',
7838
            'width' => 1,
7839
          },
7840
          'data_in_x6' => {
7841
            'attributes' => {
7842
              'bin_pt' => 0,
7843
              'is_floating_block' => 1,
7844
              'must_be_hdl_vector' => 1,
7845
              'period' => 1,
7846
              'port_id' => 31,
7847
              'simulinkName' => 'USER_LOGIC/tx_en_in85',
7848
              'type' => 'UFix_32_0',
7849
            },
7850
            'direction' => 'out',
7851
            'hdlType' => 'std_logic_vector(31 downto 0)',
7852
            'width' => 32,
7853
          },
7854
          'data_in_x7' => {
7855
            'attributes' => {
7856
              'bin_pt' => 0,
7857
              'is_floating_block' => 1,
7858
              'must_be_hdl_vector' => 1,
7859
              'period' => 1,
7860
              'port_id' => 39,
7861
              'simulinkName' => 'USER_LOGIC/tx_en_in99',
7862
              'type' => 'Bool',
7863
            },
7864
            'direction' => 'out',
7865
            'hdlType' => 'std_logic',
7866
            'width' => 1,
7867
          },
7868
          'data_in_x8' => {
7869
            'attributes' => {
7870
              'bin_pt' => 0,
7871
              'is_floating_block' => 1,
7872
              'must_be_hdl_vector' => 1,
7873
              'period' => 1,
7874
              'port_id' => 32,
7875
              'simulinkName' => 'USER_LOGIC/tx_en_in88',
7876
              'type' => 'UFix_32_0',
7877
            },
7878
            'direction' => 'out',
7879
            'hdlType' => 'std_logic_vector(31 downto 0)',
7880
            'width' => 32,
7881
          },
7882
          'data_in_x9' => {
7883
            'attributes' => {
7884
              'bin_pt' => 0,
7885
              'is_floating_block' => 1,
7886
              'must_be_hdl_vector' => 1,
7887
              'period' => 1,
7888
              'port_id' => 3,
7889
              'simulinkName' => 'USER_LOGIC/tx_en_in100',
7890
              'type' => 'Bool',
7891
            },
7892
            'direction' => 'out',
7893
            'hdlType' => 'std_logic',
7894
            'width' => 1,
7895
          },
7896
          'data_out_x1' => {
7897
            'attributes' => {
7898
              'bin_pt' => 0,
7899
              'is_floating_block' => 1,
7900
              'must_be_hdl_vector' => 1,
7901
              'period' => 1,
7902
              'port_id' => 9,
7903
              'simulinkName' => 'USER_LOGIC/From Register10',
7904
              'type' => 'UFix_1_0',
7905
            },
7906
            'direction' => 'in',
7907
            'hdlType' => 'std_logic',
7908
            'width' => 1,
7909
          },
7910
          'data_out_x12' => {
7911
            'attributes' => {
7912
              'bin_pt' => 0,
7913
              'is_floating_block' => 1,
7914
              'must_be_hdl_vector' => 1,
7915
              'period' => 1,
7916
              'port_id' => 16,
7917
              'simulinkName' => 'USER_LOGIC/From Register20',
7918
              'type' => 'UFix_32_0',
7919
            },
7920
            'direction' => 'in',
7921
            'hdlType' => 'std_logic_vector(31 downto 0)',
7922
            'width' => 32,
7923
          },
7924
          'data_out_x13' => {
7925
            'attributes' => {
7926
              'bin_pt' => 0,
7927
              'is_floating_block' => 1,
7928
              'must_be_hdl_vector' => 1,
7929
              'period' => 1,
7930
              'port_id' => 17,
7931
              'simulinkName' => 'USER_LOGIC/From Register21',
7932
              'type' => 'UFix_1_0',
7933
            },
7934
            'direction' => 'in',
7935
            'hdlType' => 'std_logic',
7936
            'width' => 1,
7937
          },
7938
          'data_out_x14' => {
7939
            'attributes' => {
7940
              'bin_pt' => 0,
7941
              'is_floating_block' => 1,
7942
              'must_be_hdl_vector' => 1,
7943
              'period' => 1,
7944
              'port_id' => 18,
7945
              'simulinkName' => 'USER_LOGIC/From Register22',
7946
              'type' => 'UFix_32_0',
7947
            },
7948
            'direction' => 'in',
7949
            'hdlType' => 'std_logic_vector(31 downto 0)',
7950
            'width' => 32,
7951
          },
7952
          'data_out_x15' => {
7953
            'attributes' => {
7954
              'bin_pt' => 0,
7955
              'is_floating_block' => 1,
7956
              'must_be_hdl_vector' => 1,
7957
              'period' => 1,
7958
              'port_id' => 19,
7959
              'simulinkName' => 'USER_LOGIC/From Register23',
7960
              'type' => 'UFix_1_0',
7961
            },
7962
            'direction' => 'in',
7963
            'hdlType' => 'std_logic',
7964
            'width' => 1,
7965
          },
7966
          'data_out_x16' => {
7967
            'attributes' => {
7968
              'bin_pt' => 0,
7969
              'is_floating_block' => 1,
7970
              'must_be_hdl_vector' => 1,
7971
              'period' => 1,
7972
              'port_id' => 20,
7973
              'simulinkName' => 'USER_LOGIC/From Register24',
7974
              'type' => 'UFix_32_0',
7975
            },
7976
            'direction' => 'in',
7977
            'hdlType' => 'std_logic_vector(31 downto 0)',
7978
            'width' => 32,
7979
          },
7980
          'data_out_x17' => {
7981
            'attributes' => {
7982
              'bin_pt' => 0,
7983
              'is_floating_block' => 1,
7984
              'must_be_hdl_vector' => 1,
7985
              'period' => 1,
7986
              'port_id' => 21,
7987
              'simulinkName' => 'USER_LOGIC/From Register25',
7988
              'type' => 'UFix_1_0',
7989
            },
7990
            'direction' => 'in',
7991
            'hdlType' => 'std_logic',
7992
            'width' => 1,
7993
          },
7994
          'data_out_x18' => {
7995
            'attributes' => {
7996
              'bin_pt' => 0,
7997
              'is_floating_block' => 1,
7998
              'must_be_hdl_vector' => 1,
7999
              'period' => 1,
8000
              'port_id' => 22,
8001
              'simulinkName' => 'USER_LOGIC/From Register26',
8002
              'type' => 'UFix_32_0',
8003
            },
8004
            'direction' => 'in',
8005
            'hdlType' => 'std_logic_vector(31 downto 0)',
8006
            'width' => 32,
8007
          },
8008
          'data_out_x19' => {
8009
            'attributes' => {
8010
              'bin_pt' => 0,
8011
              'is_floating_block' => 1,
8012
              'must_be_hdl_vector' => 1,
8013
              'period' => 1,
8014
              'port_id' => 23,
8015
              'simulinkName' => 'USER_LOGIC/From Register27',
8016
              'type' => 'UFix_1_0',
8017
            },
8018
            'direction' => 'in',
8019
            'hdlType' => 'std_logic',
8020
            'width' => 1,
8021
          },
8022
          'data_out_x2' => {
8023
            'attributes' => {
8024
              'bin_pt' => 0,
8025
              'is_floating_block' => 1,
8026
              'must_be_hdl_vector' => 1,
8027
              'period' => 1,
8028
              'port_id' => 10,
8029
              'simulinkName' => 'USER_LOGIC/From Register11',
8030
              'type' => 'UFix_32_0',
8031
            },
8032
            'direction' => 'in',
8033
            'hdlType' => 'std_logic_vector(31 downto 0)',
8034
            'width' => 32,
8035
          },
8036
          'data_out_x20' => {
8037
            'attributes' => {
8038
              'bin_pt' => 0,
8039
              'is_floating_block' => 1,
8040
              'must_be_hdl_vector' => 1,
8041
              'period' => 1,
8042
              'port_id' => 24,
8043
              'simulinkName' => 'USER_LOGIC/From Register28',
8044
              'type' => 'UFix_32_0',
8045
            },
8046
            'direction' => 'in',
8047
            'hdlType' => 'std_logic_vector(31 downto 0)',
8048
            'width' => 32,
8049
          },
8050
          'data_out_x21' => {
8051
            'attributes' => {
8052
              'bin_pt' => 0,
8053
              'is_floating_block' => 1,
8054
              'must_be_hdl_vector' => 1,
8055
              'period' => 1,
8056
              'port_id' => 25,
8057
              'simulinkName' => 'USER_LOGIC/From Register29',
8058
              'type' => 'UFix_1_0',
8059
            },
8060
            'direction' => 'in',
8061
            'hdlType' => 'std_logic',
8062
            'width' => 1,
8063
          },
8064
          'data_out_x22' => {
8065
            'attributes' => {
8066
              'bin_pt' => 0,
8067
              'is_floating_block' => 1,
8068
              'must_be_hdl_vector' => 1,
8069
              'period' => 1,
8070
              'port_id' => 26,
8071
              'simulinkName' => 'USER_LOGIC/From Register3',
8072
              'type' => 'UFix_32_0',
8073
            },
8074
            'direction' => 'in',
8075
            'hdlType' => 'std_logic_vector(31 downto 0)',
8076
            'width' => 32,
8077
          },
8078
          'data_out_x23' => {
8079
            'attributes' => {
8080
              'bin_pt' => 0,
8081
              'is_floating_block' => 1,
8082
              'must_be_hdl_vector' => 1,
8083
              'period' => 1,
8084
              'port_id' => 27,
8085
              'simulinkName' => 'USER_LOGIC/From Register30',
8086
              'type' => 'UFix_32_0',
8087
            },
8088
            'direction' => 'in',
8089
            'hdlType' => 'std_logic_vector(31 downto 0)',
8090
            'width' => 32,
8091
          },
8092
          'data_out_x24' => {
8093
            'attributes' => {
8094
              'bin_pt' => 0,
8095
              'is_floating_block' => 1,
8096
              'must_be_hdl_vector' => 1,
8097
              'period' => 1,
8098
              'port_id' => 28,
8099
              'simulinkName' => 'USER_LOGIC/From Register31',
8100
              'type' => 'UFix_1_0',
8101
            },
8102
            'direction' => 'in',
8103
            'hdlType' => 'std_logic',
8104
            'width' => 1,
8105
          },
8106
          'data_out_x25' => {
8107
            'attributes' => {
8108
              'bin_pt' => 0,
8109
              'is_floating_block' => 1,
8110
              'must_be_hdl_vector' => 1,
8111
              'period' => 1,
8112
              'port_id' => 29,
8113
              'simulinkName' => 'USER_LOGIC/From Register32',
8114
              'type' => 'UFix_32_0',
8115
            },
8116
            'direction' => 'in',
8117
            'hdlType' => 'std_logic_vector(31 downto 0)',
8118
            'width' => 32,
8119
          },
8120
          'data_out_x26' => {
8121
            'attributes' => {
8122
              'bin_pt' => 0,
8123
              'is_floating_block' => 1,
8124
              'must_be_hdl_vector' => 1,
8125
              'period' => 1,
8126
              'port_id' => 30,
8127
              'simulinkName' => 'USER_LOGIC/From Register33',
8128
              'type' => 'UFix_1_0',
8129
            },
8130
            'direction' => 'in',
8131
            'hdlType' => 'std_logic',
8132
            'width' => 1,
8133
          },
8134
          'data_out_x27' => {
8135
            'attributes' => {
8136
              'bin_pt' => 0,
8137
              'is_floating_block' => 1,
8138
              'must_be_hdl_vector' => 1,
8139
              'period' => 1,
8140
              'port_id' => 31,
8141
              'simulinkName' => 'USER_LOGIC/From Register4',
8142
              'type' => 'UFix_1_0',
8143
            },
8144
            'direction' => 'in',
8145
            'hdlType' => 'std_logic',
8146
            'width' => 1,
8147
          },
8148
          'data_out_x28' => {
8149
            'attributes' => {
8150
              'bin_pt' => 0,
8151
              'is_floating_block' => 1,
8152
              'must_be_hdl_vector' => 1,
8153
              'period' => 1,
8154
              'port_id' => 32,
8155
              'simulinkName' => 'USER_LOGIC/From Register5',
8156
              'type' => 'UFix_32_0',
8157
            },
8158
            'direction' => 'in',
8159
            'hdlType' => 'std_logic_vector(31 downto 0)',
8160
            'width' => 32,
8161
          },
8162
          'data_out_x29' => {
8163
            'attributes' => {
8164
              'bin_pt' => 0,
8165
              'is_floating_block' => 1,
8166
              'must_be_hdl_vector' => 1,
8167
              'period' => 1,
8168
              'port_id' => 33,
8169
              'simulinkName' => 'USER_LOGIC/From Register6',
8170
              'type' => 'UFix_1_0',
8171
            },
8172
            'direction' => 'in',
8173
            'hdlType' => 'std_logic',
8174
            'width' => 1,
8175
          },
8176
          'data_out_x3' => {
8177
            'attributes' => {
8178
              'bin_pt' => 0,
8179
              'is_floating_block' => 1,
8180
              'must_be_hdl_vector' => 1,
8181
              'period' => 1,
8182
              'port_id' => 11,
8183
              'simulinkName' => 'USER_LOGIC/From Register12',
8184
              'type' => 'UFix_1_0',
8185
            },
8186
            'direction' => 'in',
8187
            'hdlType' => 'std_logic',
8188
            'width' => 1,
8189
          },
8190
          'data_out_x30' => {
8191
            'attributes' => {
8192
              'bin_pt' => 0,
8193
              'is_floating_block' => 1,
8194
              'must_be_hdl_vector' => 1,
8195
              'period' => 1,
8196
              'port_id' => 34,
8197
              'simulinkName' => 'USER_LOGIC/From Register7',
8198
              'type' => 'UFix_32_0',
8199
            },
8200
            'direction' => 'in',
8201
            'hdlType' => 'std_logic_vector(31 downto 0)',
8202
            'width' => 32,
8203
          },
8204
          'data_out_x31' => {
8205
            'attributes' => {
8206
              'bin_pt' => 0,
8207
              'is_floating_block' => 1,
8208
              'must_be_hdl_vector' => 1,
8209
              'period' => 1,
8210
              'port_id' => 35,
8211
              'simulinkName' => 'USER_LOGIC/From Register8',
8212
              'type' => 'UFix_1_0',
8213
            },
8214
            'direction' => 'in',
8215
            'hdlType' => 'std_logic',
8216
            'width' => 1,
8217
          },
8218
          'data_out_x32' => {
8219
            'attributes' => {
8220
              'bin_pt' => 0,
8221
              'is_floating_block' => 1,
8222
              'must_be_hdl_vector' => 1,
8223
              'period' => 1,
8224
              'port_id' => 36,
8225
              'simulinkName' => 'USER_LOGIC/From Register9',
8226
              'type' => 'UFix_32_0',
8227
            },
8228
            'direction' => 'in',
8229
            'hdlType' => 'std_logic_vector(31 downto 0)',
8230
            'width' => 32,
8231
          },
8232
          'data_out_x4' => {
8233
            'attributes' => {
8234
              'bin_pt' => 0,
8235
              'is_floating_block' => 1,
8236
              'must_be_hdl_vector' => 1,
8237
              'period' => 1,
8238
              'port_id' => 12,
8239
              'simulinkName' => 'USER_LOGIC/From Register13',
8240
              'type' => 'UFix_32_0',
8241
            },
8242
            'direction' => 'in',
8243
            'hdlType' => 'std_logic_vector(31 downto 0)',
8244
            'width' => 32,
8245
          },
8246
          'data_out_x5' => {
8247
            'attributes' => {
8248
              'bin_pt' => 0,
8249
              'is_floating_block' => 1,
8250
              'must_be_hdl_vector' => 1,
8251
              'period' => 1,
8252
              'port_id' => 13,
8253
              'simulinkName' => 'USER_LOGIC/From Register14',
8254
              'type' => 'UFix_1_0',
8255
            },
8256
            'direction' => 'in',
8257
            'hdlType' => 'std_logic',
8258
            'width' => 1,
8259
          },
8260
          'data_out_x8' => {
8261
            'attributes' => {
8262
              'bin_pt' => 0,
8263
              'is_floating_block' => 1,
8264
              'must_be_hdl_vector' => 1,
8265
              'period' => 1,
8266
              'port_id' => 14,
8267
              'simulinkName' => 'USER_LOGIC/From Register17',
8268
              'type' => 'UFix_32_0',
8269
            },
8270
            'direction' => 'in',
8271
            'hdlType' => 'std_logic_vector(31 downto 0)',
8272
            'width' => 32,
8273
          },
8274
          'data_out_x9' => {
8275
            'attributes' => {
8276
              'bin_pt' => 0,
8277
              'is_floating_block' => 1,
8278
              'must_be_hdl_vector' => 1,
8279
              'period' => 1,
8280
              'port_id' => 15,
8281
              'simulinkName' => 'USER_LOGIC/From Register18',
8282
              'type' => 'UFix_1_0',
8283
            },
8284
            'direction' => 'in',
8285
            'hdlType' => 'std_logic',
8286
            'width' => 1,
8287
          },
8288
          'en' => {
8289
            'attributes' => {
8290
              'bin_pt' => 0,
8291
              'is_floating_block' => 1,
8292
              'must_be_hdl_vector' => 1,
8293
              'period' => 1,
8294
              'port_id' => 0,
8295
              'simulinkName' => 'USER_LOGIC/en',
8296
              'type' => 'Bool',
8297
            },
8298
            'direction' => 'out',
8299
            'hdlType' => 'std_logic',
8300
            'width' => 1,
8301
          },
8302
          'en_x0' => {
8303
            'attributes' => {
8304
              'bin_pt' => 0,
8305
              'is_floating_block' => 1,
8306
              'must_be_hdl_vector' => 1,
8307
              'period' => 1,
8308
              'port_id' => 0,
8309
              'simulinkName' => 'USER_LOGIC/en',
8310
              'type' => 'Bool',
8311
            },
8312
            'direction' => 'out',
8313
            'hdlType' => 'std_logic',
8314
            'width' => 1,
8315
          },
8316
          'en_x1' => {
8317
            'attributes' => {
8318
              'bin_pt' => 0,
8319
              'is_floating_block' => 1,
8320
              'must_be_hdl_vector' => 1,
8321
              'period' => 1,
8322
              'port_id' => 0,
8323
              'simulinkName' => 'USER_LOGIC/en',
8324
              'type' => 'Bool',
8325
            },
8326
            'direction' => 'out',
8327
            'hdlType' => 'std_logic',
8328
            'width' => 1,
8329
          },
8330
          'en_x10' => {
8331
            'attributes' => {
8332
              'bin_pt' => 0,
8333
              'is_floating_block' => 1,
8334
              'must_be_hdl_vector' => 1,
8335
              'period' => 1,
8336
              'port_id' => 0,
8337
              'simulinkName' => 'USER_LOGIC/en',
8338
              'type' => 'Bool',
8339
            },
8340
            'direction' => 'out',
8341
            'hdlType' => 'std_logic',
8342
            'width' => 1,
8343
          },
8344
          'en_x11' => {
8345
            'attributes' => {
8346
              'bin_pt' => 0,
8347
              'is_floating_block' => 1,
8348
              'must_be_hdl_vector' => 1,
8349
              'period' => 1,
8350
              'port_id' => 0,
8351
              'simulinkName' => 'USER_LOGIC/en',
8352
              'type' => 'Bool',
8353
            },
8354
            'direction' => 'out',
8355
            'hdlType' => 'std_logic',
8356
            'width' => 1,
8357
          },
8358
          'en_x12' => {
8359
            'attributes' => {
8360
              'bin_pt' => 0,
8361
              'is_floating_block' => 1,
8362
              'must_be_hdl_vector' => 1,
8363
              'period' => 1,
8364
              'port_id' => 0,
8365
              'simulinkName' => 'USER_LOGIC/en',
8366
              'type' => 'Bool',
8367
            },
8368
            'direction' => 'out',
8369
            'hdlType' => 'std_logic',
8370
            'width' => 1,
8371
          },
8372
          'en_x13' => {
8373
            'attributes' => {
8374
              'bin_pt' => 0,
8375
              'is_floating_block' => 1,
8376
              'must_be_hdl_vector' => 1,
8377
              'period' => 1,
8378
              'port_id' => 0,
8379
              'simulinkName' => 'USER_LOGIC/en',
8380
              'type' => 'Bool',
8381
            },
8382
            'direction' => 'out',
8383
            'hdlType' => 'std_logic',
8384
            'width' => 1,
8385
          },
8386
          'en_x14' => {
8387
            'attributes' => {
8388
              'bin_pt' => 0,
8389
              'is_floating_block' => 1,
8390
              'must_be_hdl_vector' => 1,
8391
              'period' => 1,
8392
              'port_id' => 0,
8393
              'simulinkName' => 'USER_LOGIC/en',
8394
              'type' => 'Bool',
8395
            },
8396
            'direction' => 'out',
8397
            'hdlType' => 'std_logic',
8398
            'width' => 1,
8399
          },
8400
          'en_x15' => {
8401
            'attributes' => {
8402
              'bin_pt' => 0,
8403
              'is_floating_block' => 1,
8404
              'must_be_hdl_vector' => 1,
8405
              'period' => 1,
8406
              'port_id' => 0,
8407
              'simulinkName' => 'USER_LOGIC/en',
8408
              'type' => 'Bool',
8409
            },
8410
            'direction' => 'out',
8411
            'hdlType' => 'std_logic',
8412
            'width' => 1,
8413
          },
8414
          'en_x16' => {
8415
            'attributes' => {
8416
              'bin_pt' => 0,
8417
              'is_floating_block' => 1,
8418
              'must_be_hdl_vector' => 1,
8419
              'period' => 1,
8420
              'port_id' => 0,
8421
              'simulinkName' => 'USER_LOGIC/en',
8422
              'type' => 'Bool',
8423
            },
8424
            'direction' => 'out',
8425
            'hdlType' => 'std_logic',
8426
            'width' => 1,
8427
          },
8428
          'en_x17' => {
8429
            'attributes' => {
8430
              'bin_pt' => 0,
8431
              'is_floating_block' => 1,
8432
              'must_be_hdl_vector' => 1,
8433
              'period' => 1,
8434
              'port_id' => 0,
8435
              'simulinkName' => 'USER_LOGIC/en',
8436
              'type' => 'Bool',
8437
            },
8438
            'direction' => 'out',
8439
            'hdlType' => 'std_logic',
8440
            'width' => 1,
8441
          },
8442
          'en_x18' => {
8443
            'attributes' => {
8444
              'bin_pt' => 0,
8445
              'is_floating_block' => 1,
8446
              'must_be_hdl_vector' => 1,
8447
              'period' => 1,
8448
              'port_id' => 0,
8449
              'simulinkName' => 'USER_LOGIC/en',
8450
              'type' => 'Bool',
8451
            },
8452
            'direction' => 'out',
8453
            'hdlType' => 'std_logic',
8454
            'width' => 1,
8455
          },
8456
          'en_x19' => {
8457
            'attributes' => {
8458
              'bin_pt' => 0,
8459
              'is_floating_block' => 1,
8460
              'must_be_hdl_vector' => 1,
8461
              'period' => 1,
8462
              'port_id' => 0,
8463
              'simulinkName' => 'USER_LOGIC/en',
8464
              'type' => 'Bool',
8465
            },
8466
            'direction' => 'out',
8467
            'hdlType' => 'std_logic',
8468
            'width' => 1,
8469
          },
8470
          'en_x2' => {
8471
            'attributes' => {
8472
              'bin_pt' => 0,
8473
              'is_floating_block' => 1,
8474
              'must_be_hdl_vector' => 1,
8475
              'period' => 1,
8476
              'port_id' => 0,
8477
              'simulinkName' => 'USER_LOGIC/en',
8478
              'type' => 'Bool',
8479
            },
8480
            'direction' => 'out',
8481
            'hdlType' => 'std_logic',
8482
            'width' => 1,
8483
          },
8484
          'en_x20' => {
8485
            'attributes' => {
8486
              'bin_pt' => 0,
8487
              'is_floating_block' => 1,
8488
              'must_be_hdl_vector' => 1,
8489
              'period' => 1,
8490
              'port_id' => 0,
8491
              'simulinkName' => 'USER_LOGIC/en',
8492
              'type' => 'Bool',
8493
            },
8494
            'direction' => 'out',
8495
            'hdlType' => 'std_logic',
8496
            'width' => 1,
8497
          },
8498
          'en_x21' => {
8499
            'attributes' => {
8500
              'bin_pt' => 0,
8501
              'is_floating_block' => 1,
8502
              'must_be_hdl_vector' => 1,
8503
              'period' => 1,
8504
              'port_id' => 0,
8505
              'simulinkName' => 'USER_LOGIC/en',
8506
              'type' => 'Bool',
8507
            },
8508
            'direction' => 'out',
8509
            'hdlType' => 'std_logic',
8510
            'width' => 1,
8511
          },
8512
          'en_x22' => {
8513
            'attributes' => {
8514
              'bin_pt' => 0,
8515
              'is_floating_block' => 1,
8516
              'must_be_hdl_vector' => 1,
8517
              'period' => 1,
8518
              'port_id' => 0,
8519
              'simulinkName' => 'USER_LOGIC/en',
8520
              'type' => 'Bool',
8521
            },
8522
            'direction' => 'out',
8523
            'hdlType' => 'std_logic',
8524
            'width' => 1,
8525
          },
8526
          'en_x23' => {
8527
            'attributes' => {
8528
              'bin_pt' => 0,
8529
              'is_floating_block' => 1,
8530
              'must_be_hdl_vector' => 1,
8531
              'period' => 1,
8532
              'port_id' => 0,
8533
              'simulinkName' => 'USER_LOGIC/en',
8534
              'type' => 'Bool',
8535
            },
8536
            'direction' => 'out',
8537
            'hdlType' => 'std_logic',
8538
            'width' => 1,
8539
          },
8540
          'en_x24' => {
8541
            'attributes' => {
8542
              'bin_pt' => 0,
8543
              'is_floating_block' => 1,
8544
              'must_be_hdl_vector' => 1,
8545
              'period' => 1,
8546
              'port_id' => 0,
8547
              'simulinkName' => 'USER_LOGIC/en',
8548
              'type' => 'Bool',
8549
            },
8550
            'direction' => 'out',
8551
            'hdlType' => 'std_logic',
8552
            'width' => 1,
8553
          },
8554
          'en_x25' => {
8555
            'attributes' => {
8556
              'bin_pt' => 0,
8557
              'is_floating_block' => 1,
8558
              'must_be_hdl_vector' => 1,
8559
              'period' => 1,
8560
              'port_id' => 0,
8561
              'simulinkName' => 'USER_LOGIC/en',
8562
              'type' => 'Bool',
8563
            },
8564
            'direction' => 'out',
8565
            'hdlType' => 'std_logic',
8566
            'width' => 1,
8567
          },
8568
          'en_x26' => {
8569
            'attributes' => {
8570
              'bin_pt' => 0,
8571
              'is_floating_block' => 1,
8572
              'must_be_hdl_vector' => 1,
8573
              'period' => 1,
8574
              'port_id' => 0,
8575
              'simulinkName' => 'USER_LOGIC/en',
8576
              'type' => 'Bool',
8577
            },
8578
            'direction' => 'out',
8579
            'hdlType' => 'std_logic',
8580
            'width' => 1,
8581
          },
8582
          'en_x3' => {
8583
            'attributes' => {
8584
              'bin_pt' => 0,
8585
              'is_floating_block' => 1,
8586
              'must_be_hdl_vector' => 1,
8587
              'period' => 1,
8588
              'port_id' => 0,
8589
              'simulinkName' => 'USER_LOGIC/en',
8590
              'type' => 'Bool',
8591
            },
8592
            'direction' => 'out',
8593
            'hdlType' => 'std_logic',
8594
            'width' => 1,
8595
          },
8596
          'en_x4' => {
8597
            'attributes' => {
8598
              'bin_pt' => 0,
8599
              'is_floating_block' => 1,
8600
              'must_be_hdl_vector' => 1,
8601
              'period' => 1,
8602
              'port_id' => 0,
8603
              'simulinkName' => 'USER_LOGIC/en',
8604
              'type' => 'Bool',
8605
            },
8606
            'direction' => 'out',
8607
            'hdlType' => 'std_logic',
8608
            'width' => 1,
8609
          },
8610
          'en_x5' => {
8611
            'attributes' => {
8612
              'bin_pt' => 0,
8613
              'is_floating_block' => 1,
8614
              'must_be_hdl_vector' => 1,
8615
              'period' => 1,
8616
              'port_id' => 0,
8617
              'simulinkName' => 'USER_LOGIC/en',
8618
              'type' => 'Bool',
8619
            },
8620
            'direction' => 'out',
8621
            'hdlType' => 'std_logic',
8622
            'width' => 1,
8623
          },
8624
          'en_x6' => {
8625
            'attributes' => {
8626
              'bin_pt' => 0,
8627
              'is_floating_block' => 1,
8628
              'must_be_hdl_vector' => 1,
8629
              'period' => 1,
8630
              'port_id' => 0,
8631
              'simulinkName' => 'USER_LOGIC/en',
8632
              'type' => 'Bool',
8633
            },
8634
            'direction' => 'out',
8635
            'hdlType' => 'std_logic',
8636
            'width' => 1,
8637
          },
8638
          'en_x7' => {
8639
            'attributes' => {
8640
              'bin_pt' => 0,
8641
              'is_floating_block' => 1,
8642
              'must_be_hdl_vector' => 1,
8643
              'period' => 1,
8644
              'port_id' => 0,
8645
              'simulinkName' => 'USER_LOGIC/en',
8646
              'type' => 'Bool',
8647
            },
8648
            'direction' => 'out',
8649
            'hdlType' => 'std_logic',
8650
            'width' => 1,
8651
          },
8652
          'en_x8' => {
8653
            'attributes' => {
8654
              'bin_pt' => 0,
8655
              'is_floating_block' => 1,
8656
              'must_be_hdl_vector' => 1,
8657
              'period' => 1,
8658
              'port_id' => 0,
8659
              'simulinkName' => 'USER_LOGIC/en',
8660
              'type' => 'Bool',
8661
            },
8662
            'direction' => 'out',
8663
            'hdlType' => 'std_logic',
8664
            'width' => 1,
8665
          },
8666
          'en_x9' => {
8667
            'attributes' => {
8668
              'bin_pt' => 0,
8669
              'is_floating_block' => 1,
8670
              'must_be_hdl_vector' => 1,
8671
              'period' => 1,
8672
              'port_id' => 0,
8673
              'simulinkName' => 'USER_LOGIC/en',
8674
              'type' => 'Bool',
8675
            },
8676
            'direction' => 'out',
8677
            'hdlType' => 'std_logic',
8678
            'width' => 1,
8679
          },
8680
          'fifo_rd_count_x0' => {
8681
            'attributes' => {
8682
              'bin_pt' => 0,
8683
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_count.dat',
8684
              'is_floating_block' => 1,
8685
              'is_gateway_port' => 1,
8686
              'must_be_hdl_vector' => 1,
8687
              'period' => 1,
8688
              'port_id' => 1,
8689
              'simulinkName' => 'USER_LOGIC/FIFO_rd_count',
8690
              'source_block' => 'USER_LOGIC',
8691
              'timingConstraint' => 'none',
8692
              'type' => 'UFix_15_0',
8693
            },
8694
            'direction' => 'in',
8695
            'hdlType' => 'std_logic_vector(14 downto 0)',
8696
            'width' => 15,
8697
          },
8698
          'fifo_rd_dout' => {
8699
            'attributes' => {
8700
              'bin_pt' => 0,
8701
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_dout.dat',
8702
              'is_floating_block' => 1,
8703
              'is_gateway_port' => 1,
8704
              'must_be_hdl_vector' => 1,
8705
              'period' => 1,
8706
              'port_id' => 2,
8707
              'simulinkName' => 'USER_LOGIC/FIFO_rd_dout',
8708
              'source_block' => 'USER_LOGIC',
8709
              'timingConstraint' => 'none',
8710
              'type' => 'UFix_72_0',
8711
            },
8712
            'direction' => 'in',
8713
            'hdlType' => 'std_logic_vector(71 downto 0)',
8714
            'width' => 72,
8715
          },
8716
          'fifo_rd_empty' => {
8717
            'attributes' => {
8718
              'bin_pt' => 0,
8719
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_empty.dat',
8720
              'is_floating_block' => 1,
8721
              'is_gateway_port' => 1,
8722
              'must_be_hdl_vector' => 1,
8723
              'period' => 1,
8724
              'port_id' => 3,
8725
              'simulinkName' => 'USER_LOGIC/FIFO_rd_empty',
8726
              'source_block' => 'USER_LOGIC',
8727
              'timingConstraint' => 'none',
8728
              'type' => 'Bool',
8729
            },
8730
            'direction' => 'in',
8731
            'hdlType' => 'std_logic',
8732
            'width' => 1,
8733
          },
8734
          'fifo_rd_en_x1' => {
8735
            'attributes' => {
8736
              'bin_pt' => 0,
8737
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_en.dat',
8738
              'is_floating_block' => 1,
8739
              'is_gateway_port' => 1,
8740
              'must_be_hdl_vector' => 1,
8741
              'period' => 1,
8742
              'port_id' => 4,
8743
              'simulinkName' => 'USER_LOGIC/FIFO_rd_en',
8744
              'source_block' => 'USER_LOGIC',
8745
              'timingConstraint' => 'none',
8746
              'type' => 'Bool',
8747
            },
8748
            'direction' => 'out',
8749
            'hdlType' => 'std_logic',
8750
            'width' => 1,
8751
          },
8752
          'fifo_rd_pempty_x0' => {
8753
            'attributes' => {
8754
              'bin_pt' => 0,
8755
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_pempty.dat',
8756
              'is_floating_block' => 1,
8757
              'is_gateway_port' => 1,
8758
              'must_be_hdl_vector' => 1,
8759
              'period' => 1,
8760
              'port_id' => 4,
8761
              'simulinkName' => 'USER_LOGIC/FIFO_rd_pempty',
8762
              'source_block' => 'USER_LOGIC',
8763
              'timingConstraint' => 'none',
8764
              'type' => 'Bool',
8765
            },
8766
            'direction' => 'in',
8767
            'hdlType' => 'std_logic',
8768
            'width' => 1,
8769
          },
8770
          'fifo_rd_valid' => {
8771
            'attributes' => {
8772
              'bin_pt' => 0,
8773
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_valid.dat',
8774
              'is_floating_block' => 1,
8775
              'is_gateway_port' => 1,
8776
              'must_be_hdl_vector' => 1,
8777
              'period' => 1,
8778
              'port_id' => 5,
8779
              'simulinkName' => 'USER_LOGIC/FIFO_rd_valid',
8780
              'source_block' => 'USER_LOGIC',
8781
              'timingConstraint' => 'none',
8782
              'type' => 'Bool',
8783
            },
8784
            'direction' => 'in',
8785
            'hdlType' => 'std_logic',
8786
            'width' => 1,
8787
          },
8788
          'fifo_wr_count_x0' => {
8789
            'attributes' => {
8790
              'bin_pt' => 0,
8791
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_count.dat',
8792
              'is_floating_block' => 1,
8793
              'is_gateway_port' => 1,
8794
              'must_be_hdl_vector' => 1,
8795
              'period' => 1,
8796
              'port_id' => 6,
8797
              'simulinkName' => 'USER_LOGIC/FIFO_wr_count',
8798
              'source_block' => 'USER_LOGIC',
8799
              'timingConstraint' => 'none',
8800
              'type' => 'UFix_15_0',
8801
            },
8802
            'direction' => 'in',
8803
            'hdlType' => 'std_logic_vector(14 downto 0)',
8804
            'width' => 15,
8805
          },
8806
          'fifo_wr_din' => {
8807
            'attributes' => {
8808
              'bin_pt' => 0,
8809
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_din.dat',
8810
              'is_floating_block' => 1,
8811
              'is_gateway_port' => 1,
8812
              'must_be_hdl_vector' => 1,
8813
              'period' => 1,
8814
              'port_id' => 22,
8815
              'simulinkName' => 'USER_LOGIC/FIFO_wr_din',
8816
              'source_block' => 'USER_LOGIC',
8817
              'timingConstraint' => 'none',
8818
              'type' => 'UFix_72_0',
8819
            },
8820
            'direction' => 'out',
8821
            'hdlType' => 'std_logic_vector(71 downto 0)',
8822
            'width' => 72,
8823
          },
8824
          'fifo_wr_en_x0' => {
8825
            'attributes' => {
8826
              'bin_pt' => 0,
8827
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_en.dat',
8828
              'is_floating_block' => 1,
8829
              'is_gateway_port' => 1,
8830
              'must_be_hdl_vector' => 1,
8831
              'period' => 1,
8832
              'port_id' => 5,
8833
              'simulinkName' => 'USER_LOGIC/FIFO_wr_en',
8834
              'source_block' => 'USER_LOGIC',
8835
              'timingConstraint' => 'none',
8836
              'type' => 'Bool',
8837
            },
8838
            'direction' => 'out',
8839
            'hdlType' => 'std_logic',
8840
            'width' => 1,
8841
          },
8842
          'fifo_wr_full_x0' => {
8843
            'attributes' => {
8844
              'bin_pt' => 0,
8845
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_full.dat',
8846
              'is_floating_block' => 1,
8847
              'is_gateway_port' => 1,
8848
              'must_be_hdl_vector' => 1,
8849
              'period' => 1,
8850
              'port_id' => 7,
8851
              'simulinkName' => 'USER_LOGIC/FIFO_wr_full',
8852
              'source_block' => 'USER_LOGIC',
8853
              'timingConstraint' => 'none',
8854
              'type' => 'Bool',
8855
            },
8856
            'direction' => 'in',
8857
            'hdlType' => 'std_logic',
8858
            'width' => 1,
8859
          },
8860
          'fifo_wr_pfull_x0' => {
8861
            'attributes' => {
8862
              'bin_pt' => 0,
8863
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_pfull.dat',
8864
              'is_floating_block' => 1,
8865
              'is_gateway_port' => 1,
8866
              'must_be_hdl_vector' => 1,
8867
              'period' => 1,
8868
              'port_id' => 8,
8869
              'simulinkName' => 'USER_LOGIC/FIFO_wr_pfull',
8870
              'source_block' => 'USER_LOGIC',
8871
              'timingConstraint' => 'none',
8872
              'type' => 'Bool',
8873
            },
8874
            'direction' => 'in',
8875
            'hdlType' => 'std_logic',
8876
            'width' => 1,
8877
          },
8878
          'rst_i' => {
8879
            'attributes' => {
8880
              'bin_pt' => 0,
8881
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_i.dat',
8882
              'is_floating_block' => 1,
8883
              'is_gateway_port' => 1,
8884
              'must_be_hdl_vector' => 1,
8885
              'period' => 1,
8886
              'port_id' => 37,
8887
              'simulinkName' => 'USER_LOGIC/rst_i',
8888
              'source_block' => 'USER_LOGIC',
8889
              'timingConstraint' => 'none',
8890
              'type' => 'Bool',
8891
            },
8892
            'direction' => 'in',
8893
            'hdlType' => 'std_logic',
8894
            'width' => 1,
8895
          },
8896
          'rst_o' => {
8897
            'attributes' => {
8898
              'bin_pt' => 0,
8899
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_o.dat',
8900
              'is_floating_block' => 1,
8901
              'is_gateway_port' => 1,
8902
              'must_be_hdl_vector' => 1,
8903
              'period' => 1,
8904
              'port_id' => 19,
8905
              'simulinkName' => 'USER_LOGIC/rst_o',
8906
              'source_block' => 'USER_LOGIC',
8907
              'timingConstraint' => 'none',
8908
              'type' => 'Bool',
8909
            },
8910
            'direction' => 'out',
8911
            'hdlType' => 'std_logic',
8912
            'width' => 1,
8913
          },
8914
          'user_int_1o' => {
8915
            'attributes' => {
8916
              'bin_pt' => 0,
8917
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_1o.dat',
8918
              'is_floating_block' => 1,
8919
              'is_gateway_port' => 1,
8920
              'must_be_hdl_vector' => 1,
8921
              'period' => 1,
8922
              'port_id' => 20,
8923
              'simulinkName' => 'USER_LOGIC/user_int_1o',
8924
              'source_block' => 'USER_LOGIC',
8925
              'timingConstraint' => 'none',
8926
              'type' => 'Bool',
8927
            },
8928
            'direction' => 'out',
8929
            'hdlType' => 'std_logic',
8930
            'width' => 1,
8931
          },
8932
          'user_int_2o' => {
8933
            'attributes' => {
8934
              'bin_pt' => 0,
8935
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_2o.dat',
8936
              'is_floating_block' => 1,
8937
              'is_gateway_port' => 1,
8938
              'must_be_hdl_vector' => 1,
8939
              'period' => 1,
8940
              'port_id' => 30,
8941
              'simulinkName' => 'USER_LOGIC/user_int_2o',
8942
              'source_block' => 'USER_LOGIC',
8943
              'timingConstraint' => 'none',
8944
              'type' => 'Bool',
8945
            },
8946
            'direction' => 'out',
8947
            'hdlType' => 'std_logic',
8948
            'width' => 1,
8949
          },
8950
          'user_int_3o' => {
8951
            'attributes' => {
8952
              'bin_pt' => 0,
8953
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_3o.dat',
8954
              'is_floating_block' => 1,
8955
              'is_gateway_port' => 1,
8956
              'must_be_hdl_vector' => 1,
8957
              'period' => 1,
8958
              'port_id' => 25,
8959
              'simulinkName' => 'USER_LOGIC/user_int_3o',
8960
              'source_block' => 'USER_LOGIC',
8961
              'timingConstraint' => 'none',
8962
              'type' => 'Bool',
8963
            },
8964
            'direction' => 'out',
8965
            'hdlType' => 'std_logic',
8966
            'width' => 1,
8967
          },
8968
        },
8969
      },
8970
      'entityName' => 'user_logic',
8971
    },
8972
  },
8973
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.