OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_afifo_256x36c_fwft.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2012 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file v6_afifo_256x36c_fwft.v when simulating
29
// the core, v6_afifo_256x36c_fwft. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module v6_afifo_256x36c_fwft(
40
  rst,
41
  wr_clk,
42
  rd_clk,
43
  din,
44
  wr_en,
45
  rd_en,
46
  dout,
47
  full,
48
  empty,
49
  rd_data_count,
50
  prog_full,
51
  prog_empty
52
);
53
 
54
input rst;
55
input wr_clk;
56
input rd_clk;
57
input [35 : 0] din;
58
input wr_en;
59
input rd_en;
60
output [35 : 0] dout;
61
output full;
62
output empty;
63
output [8 : 0] rd_data_count;
64
output prog_full;
65
output prog_empty;
66
 
67
// synthesis translate_off
68
 
69
  FIFO_GENERATOR_V8_3 #(
70
    .C_ADD_NGC_CONSTRAINT(0),
71
    .C_APPLICATION_TYPE_AXIS(0),
72
    .C_APPLICATION_TYPE_RACH(0),
73
    .C_APPLICATION_TYPE_RDCH(0),
74
    .C_APPLICATION_TYPE_WACH(0),
75
    .C_APPLICATION_TYPE_WDCH(0),
76
    .C_APPLICATION_TYPE_WRCH(0),
77
    .C_AXI_ADDR_WIDTH(32),
78
    .C_AXI_ARUSER_WIDTH(1),
79
    .C_AXI_AWUSER_WIDTH(1),
80
    .C_AXI_BUSER_WIDTH(1),
81
    .C_AXI_DATA_WIDTH(64),
82
    .C_AXI_ID_WIDTH(4),
83
    .C_AXI_RUSER_WIDTH(1),
84
    .C_AXI_TYPE(0),
85
    .C_AXI_WUSER_WIDTH(1),
86
    .C_AXIS_TDATA_WIDTH(64),
87
    .C_AXIS_TDEST_WIDTH(4),
88
    .C_AXIS_TID_WIDTH(8),
89
    .C_AXIS_TKEEP_WIDTH(4),
90
    .C_AXIS_TSTRB_WIDTH(4),
91
    .C_AXIS_TUSER_WIDTH(4),
92
    .C_AXIS_TYPE(0),
93
    .C_COMMON_CLOCK(0),
94
    .C_COUNT_TYPE(0),
95
    .C_DATA_COUNT_WIDTH(9),
96
    .C_DEFAULT_VALUE("BlankString"),
97
    .C_DIN_WIDTH(36),
98
    .C_DIN_WIDTH_AXIS(1),
99
    .C_DIN_WIDTH_RACH(32),
100
    .C_DIN_WIDTH_RDCH(64),
101
    .C_DIN_WIDTH_WACH(32),
102
    .C_DIN_WIDTH_WDCH(64),
103
    .C_DIN_WIDTH_WRCH(2),
104
    .C_DOUT_RST_VAL("0"),
105
    .C_DOUT_WIDTH(36),
106
    .C_ENABLE_RLOCS(0),
107
    .C_ENABLE_RST_SYNC(1),
108
    .C_ERROR_INJECTION_TYPE(0),
109
    .C_ERROR_INJECTION_TYPE_AXIS(0),
110
    .C_ERROR_INJECTION_TYPE_RACH(0),
111
    .C_ERROR_INJECTION_TYPE_RDCH(0),
112
    .C_ERROR_INJECTION_TYPE_WACH(0),
113
    .C_ERROR_INJECTION_TYPE_WDCH(0),
114
    .C_ERROR_INJECTION_TYPE_WRCH(0),
115
    .C_FAMILY("virtex6"),
116
    .C_FULL_FLAGS_RST_VAL(1),
117
    .C_HAS_ALMOST_EMPTY(0),
118
    .C_HAS_ALMOST_FULL(0),
119
    .C_HAS_AXI_ARUSER(0),
120
    .C_HAS_AXI_AWUSER(0),
121
    .C_HAS_AXI_BUSER(0),
122
    .C_HAS_AXI_RD_CHANNEL(0),
123
    .C_HAS_AXI_RUSER(0),
124
    .C_HAS_AXI_WR_CHANNEL(0),
125
    .C_HAS_AXI_WUSER(0),
126
    .C_HAS_AXIS_TDATA(0),
127
    .C_HAS_AXIS_TDEST(0),
128
    .C_HAS_AXIS_TID(0),
129
    .C_HAS_AXIS_TKEEP(0),
130
    .C_HAS_AXIS_TLAST(0),
131
    .C_HAS_AXIS_TREADY(1),
132
    .C_HAS_AXIS_TSTRB(0),
133
    .C_HAS_AXIS_TUSER(0),
134
    .C_HAS_BACKUP(0),
135
    .C_HAS_DATA_COUNT(0),
136
    .C_HAS_DATA_COUNTS_AXIS(0),
137
    .C_HAS_DATA_COUNTS_RACH(0),
138
    .C_HAS_DATA_COUNTS_RDCH(0),
139
    .C_HAS_DATA_COUNTS_WACH(0),
140
    .C_HAS_DATA_COUNTS_WDCH(0),
141
    .C_HAS_DATA_COUNTS_WRCH(0),
142
    .C_HAS_INT_CLK(0),
143
    .C_HAS_MASTER_CE(0),
144
    .C_HAS_MEMINIT_FILE(0),
145
    .C_HAS_OVERFLOW(0),
146
    .C_HAS_PROG_FLAGS_AXIS(0),
147
    .C_HAS_PROG_FLAGS_RACH(0),
148
    .C_HAS_PROG_FLAGS_RDCH(0),
149
    .C_HAS_PROG_FLAGS_WACH(0),
150
    .C_HAS_PROG_FLAGS_WDCH(0),
151
    .C_HAS_PROG_FLAGS_WRCH(0),
152
    .C_HAS_RD_DATA_COUNT(1),
153
    .C_HAS_RD_RST(0),
154
    .C_HAS_RST(1),
155
    .C_HAS_SLAVE_CE(0),
156
    .C_HAS_SRST(0),
157
    .C_HAS_UNDERFLOW(0),
158
    .C_HAS_VALID(0),
159
    .C_HAS_WR_ACK(0),
160
    .C_HAS_WR_DATA_COUNT(0),
161
    .C_HAS_WR_RST(0),
162
    .C_IMPLEMENTATION_TYPE(2),
163
    .C_IMPLEMENTATION_TYPE_AXIS(1),
164
    .C_IMPLEMENTATION_TYPE_RACH(1),
165
    .C_IMPLEMENTATION_TYPE_RDCH(1),
166
    .C_IMPLEMENTATION_TYPE_WACH(1),
167
    .C_IMPLEMENTATION_TYPE_WDCH(1),
168
    .C_IMPLEMENTATION_TYPE_WRCH(1),
169
    .C_INIT_WR_PNTR_VAL(0),
170
    .C_INTERFACE_TYPE(0),
171
    .C_MEMORY_TYPE(1),
172
    .C_MIF_FILE_NAME("BlankString"),
173
    .C_MSGON_VAL(1),
174
    .C_OPTIMIZATION_MODE(0),
175
    .C_OVERFLOW_LOW(0),
176
    .C_PRELOAD_LATENCY(0),
177
    .C_PRELOAD_REGS(1),
178
    .C_PRIM_FIFO_TYPE("512x36"),
179
    .C_PROG_EMPTY_THRESH_ASSERT_VAL(8),
180
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
181
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
182
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
183
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
184
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
185
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
186
    .C_PROG_EMPTY_THRESH_NEGATE_VAL(9),
187
    .C_PROG_EMPTY_TYPE(1),
188
    .C_PROG_EMPTY_TYPE_AXIS(5),
189
    .C_PROG_EMPTY_TYPE_RACH(5),
190
    .C_PROG_EMPTY_TYPE_RDCH(5),
191
    .C_PROG_EMPTY_TYPE_WACH(5),
192
    .C_PROG_EMPTY_TYPE_WDCH(5),
193
    .C_PROG_EMPTY_TYPE_WRCH(5),
194
    .C_PROG_FULL_THRESH_ASSERT_VAL(320),
195
    .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
196
    .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
197
    .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
198
    .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
199
    .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
200
    .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
201
    .C_PROG_FULL_THRESH_NEGATE_VAL(319),
202
    .C_PROG_FULL_TYPE(1),
203
    .C_PROG_FULL_TYPE_AXIS(5),
204
    .C_PROG_FULL_TYPE_RACH(5),
205
    .C_PROG_FULL_TYPE_RDCH(5),
206
    .C_PROG_FULL_TYPE_WACH(5),
207
    .C_PROG_FULL_TYPE_WDCH(5),
208
    .C_PROG_FULL_TYPE_WRCH(5),
209
    .C_RACH_TYPE(0),
210
    .C_RD_DATA_COUNT_WIDTH(9),
211
    .C_RD_DEPTH(512),
212
    .C_RD_FREQ(1),
213
    .C_RD_PNTR_WIDTH(9),
214
    .C_RDCH_TYPE(0),
215
    .C_REG_SLICE_MODE_AXIS(0),
216
    .C_REG_SLICE_MODE_RACH(0),
217
    .C_REG_SLICE_MODE_RDCH(0),
218
    .C_REG_SLICE_MODE_WACH(0),
219
    .C_REG_SLICE_MODE_WDCH(0),
220
    .C_REG_SLICE_MODE_WRCH(0),
221
    .C_UNDERFLOW_LOW(0),
222
    .C_USE_COMMON_OVERFLOW(0),
223
    .C_USE_COMMON_UNDERFLOW(0),
224
    .C_USE_DEFAULT_SETTINGS(0),
225
    .C_USE_DOUT_RST(1),
226
    .C_USE_ECC(0),
227
    .C_USE_ECC_AXIS(0),
228
    .C_USE_ECC_RACH(0),
229
    .C_USE_ECC_RDCH(0),
230
    .C_USE_ECC_WACH(0),
231
    .C_USE_ECC_WDCH(0),
232
    .C_USE_ECC_WRCH(0),
233
    .C_USE_EMBEDDED_REG(0),
234
    .C_USE_FIFO16_FLAGS(0),
235
    .C_USE_FWFT_DATA_COUNT(0),
236
    .C_VALID_LOW(0),
237
    .C_WACH_TYPE(0),
238
    .C_WDCH_TYPE(0),
239
    .C_WR_ACK_LOW(0),
240
    .C_WR_DATA_COUNT_WIDTH(9),
241
    .C_WR_DEPTH(512),
242
    .C_WR_DEPTH_AXIS(1024),
243
    .C_WR_DEPTH_RACH(16),
244
    .C_WR_DEPTH_RDCH(1024),
245
    .C_WR_DEPTH_WACH(16),
246
    .C_WR_DEPTH_WDCH(1024),
247
    .C_WR_DEPTH_WRCH(16),
248
    .C_WR_FREQ(1),
249
    .C_WR_PNTR_WIDTH(9),
250
    .C_WR_PNTR_WIDTH_AXIS(10),
251
    .C_WR_PNTR_WIDTH_RACH(4),
252
    .C_WR_PNTR_WIDTH_RDCH(10),
253
    .C_WR_PNTR_WIDTH_WACH(4),
254
    .C_WR_PNTR_WIDTH_WDCH(10),
255
    .C_WR_PNTR_WIDTH_WRCH(4),
256
    .C_WR_RESPONSE_LATENCY(1),
257
    .C_WRCH_TYPE(0)
258
  )
259
  inst (
260
    .RST(rst),
261
    .WR_CLK(wr_clk),
262
    .RD_CLK(rd_clk),
263
    .DIN(din),
264
    .WR_EN(wr_en),
265
    .RD_EN(rd_en),
266
    .DOUT(dout),
267
    .FULL(full),
268
    .EMPTY(empty),
269
    .RD_DATA_COUNT(rd_data_count),
270
    .PROG_FULL(prog_full),
271
    .PROG_EMPTY(prog_empty),
272
    .BACKUP(),
273
    .BACKUP_MARKER(),
274
    .CLK(),
275
    .SRST(),
276
    .WR_RST(),
277
    .RD_RST(),
278
    .PROG_EMPTY_THRESH(),
279
    .PROG_EMPTY_THRESH_ASSERT(),
280
    .PROG_EMPTY_THRESH_NEGATE(),
281
    .PROG_FULL_THRESH(),
282
    .PROG_FULL_THRESH_ASSERT(),
283
    .PROG_FULL_THRESH_NEGATE(),
284
    .INT_CLK(),
285
    .INJECTDBITERR(),
286
    .INJECTSBITERR(),
287
    .ALMOST_FULL(),
288
    .WR_ACK(),
289
    .OVERFLOW(),
290
    .ALMOST_EMPTY(),
291
    .VALID(),
292
    .UNDERFLOW(),
293
    .DATA_COUNT(),
294
    .WR_DATA_COUNT(),
295
    .SBITERR(),
296
    .DBITERR(),
297
    .M_ACLK(),
298
    .S_ACLK(),
299
    .S_ARESETN(),
300
    .M_ACLK_EN(),
301
    .S_ACLK_EN(),
302
    .S_AXI_AWID(),
303
    .S_AXI_AWADDR(),
304
    .S_AXI_AWLEN(),
305
    .S_AXI_AWSIZE(),
306
    .S_AXI_AWBURST(),
307
    .S_AXI_AWLOCK(),
308
    .S_AXI_AWCACHE(),
309
    .S_AXI_AWPROT(),
310
    .S_AXI_AWQOS(),
311
    .S_AXI_AWREGION(),
312
    .S_AXI_AWUSER(),
313
    .S_AXI_AWVALID(),
314
    .S_AXI_AWREADY(),
315
    .S_AXI_WID(),
316
    .S_AXI_WDATA(),
317
    .S_AXI_WSTRB(),
318
    .S_AXI_WLAST(),
319
    .S_AXI_WUSER(),
320
    .S_AXI_WVALID(),
321
    .S_AXI_WREADY(),
322
    .S_AXI_BID(),
323
    .S_AXI_BRESP(),
324
    .S_AXI_BUSER(),
325
    .S_AXI_BVALID(),
326
    .S_AXI_BREADY(),
327
    .M_AXI_AWID(),
328
    .M_AXI_AWADDR(),
329
    .M_AXI_AWLEN(),
330
    .M_AXI_AWSIZE(),
331
    .M_AXI_AWBURST(),
332
    .M_AXI_AWLOCK(),
333
    .M_AXI_AWCACHE(),
334
    .M_AXI_AWPROT(),
335
    .M_AXI_AWQOS(),
336
    .M_AXI_AWREGION(),
337
    .M_AXI_AWUSER(),
338
    .M_AXI_AWVALID(),
339
    .M_AXI_AWREADY(),
340
    .M_AXI_WID(),
341
    .M_AXI_WDATA(),
342
    .M_AXI_WSTRB(),
343
    .M_AXI_WLAST(),
344
    .M_AXI_WUSER(),
345
    .M_AXI_WVALID(),
346
    .M_AXI_WREADY(),
347
    .M_AXI_BID(),
348
    .M_AXI_BRESP(),
349
    .M_AXI_BUSER(),
350
    .M_AXI_BVALID(),
351
    .M_AXI_BREADY(),
352
    .S_AXI_ARID(),
353
    .S_AXI_ARADDR(),
354
    .S_AXI_ARLEN(),
355
    .S_AXI_ARSIZE(),
356
    .S_AXI_ARBURST(),
357
    .S_AXI_ARLOCK(),
358
    .S_AXI_ARCACHE(),
359
    .S_AXI_ARPROT(),
360
    .S_AXI_ARQOS(),
361
    .S_AXI_ARREGION(),
362
    .S_AXI_ARUSER(),
363
    .S_AXI_ARVALID(),
364
    .S_AXI_ARREADY(),
365
    .S_AXI_RID(),
366
    .S_AXI_RDATA(),
367
    .S_AXI_RRESP(),
368
    .S_AXI_RLAST(),
369
    .S_AXI_RUSER(),
370
    .S_AXI_RVALID(),
371
    .S_AXI_RREADY(),
372
    .M_AXI_ARID(),
373
    .M_AXI_ARADDR(),
374
    .M_AXI_ARLEN(),
375
    .M_AXI_ARSIZE(),
376
    .M_AXI_ARBURST(),
377
    .M_AXI_ARLOCK(),
378
    .M_AXI_ARCACHE(),
379
    .M_AXI_ARPROT(),
380
    .M_AXI_ARQOS(),
381
    .M_AXI_ARREGION(),
382
    .M_AXI_ARUSER(),
383
    .M_AXI_ARVALID(),
384
    .M_AXI_ARREADY(),
385
    .M_AXI_RID(),
386
    .M_AXI_RDATA(),
387
    .M_AXI_RRESP(),
388
    .M_AXI_RLAST(),
389
    .M_AXI_RUSER(),
390
    .M_AXI_RVALID(),
391
    .M_AXI_RREADY(),
392
    .S_AXIS_TVALID(),
393
    .S_AXIS_TREADY(),
394
    .S_AXIS_TDATA(),
395
    .S_AXIS_TSTRB(),
396
    .S_AXIS_TKEEP(),
397
    .S_AXIS_TLAST(),
398
    .S_AXIS_TID(),
399
    .S_AXIS_TDEST(),
400
    .S_AXIS_TUSER(),
401
    .M_AXIS_TVALID(),
402
    .M_AXIS_TREADY(),
403
    .M_AXIS_TDATA(),
404
    .M_AXIS_TSTRB(),
405
    .M_AXIS_TKEEP(),
406
    .M_AXIS_TLAST(),
407
    .M_AXIS_TID(),
408
    .M_AXIS_TDEST(),
409
    .M_AXIS_TUSER(),
410
    .AXI_AW_INJECTSBITERR(),
411
    .AXI_AW_INJECTDBITERR(),
412
    .AXI_AW_PROG_FULL_THRESH(),
413
    .AXI_AW_PROG_EMPTY_THRESH(),
414
    .AXI_AW_DATA_COUNT(),
415
    .AXI_AW_WR_DATA_COUNT(),
416
    .AXI_AW_RD_DATA_COUNT(),
417
    .AXI_AW_SBITERR(),
418
    .AXI_AW_DBITERR(),
419
    .AXI_AW_OVERFLOW(),
420
    .AXI_AW_UNDERFLOW(),
421
    .AXI_W_INJECTSBITERR(),
422
    .AXI_W_INJECTDBITERR(),
423
    .AXI_W_PROG_FULL_THRESH(),
424
    .AXI_W_PROG_EMPTY_THRESH(),
425
    .AXI_W_DATA_COUNT(),
426
    .AXI_W_WR_DATA_COUNT(),
427
    .AXI_W_RD_DATA_COUNT(),
428
    .AXI_W_SBITERR(),
429
    .AXI_W_DBITERR(),
430
    .AXI_W_OVERFLOW(),
431
    .AXI_W_UNDERFLOW(),
432
    .AXI_B_INJECTSBITERR(),
433
    .AXI_B_INJECTDBITERR(),
434
    .AXI_B_PROG_FULL_THRESH(),
435
    .AXI_B_PROG_EMPTY_THRESH(),
436
    .AXI_B_DATA_COUNT(),
437
    .AXI_B_WR_DATA_COUNT(),
438
    .AXI_B_RD_DATA_COUNT(),
439
    .AXI_B_SBITERR(),
440
    .AXI_B_DBITERR(),
441
    .AXI_B_OVERFLOW(),
442
    .AXI_B_UNDERFLOW(),
443
    .AXI_AR_INJECTSBITERR(),
444
    .AXI_AR_INJECTDBITERR(),
445
    .AXI_AR_PROG_FULL_THRESH(),
446
    .AXI_AR_PROG_EMPTY_THRESH(),
447
    .AXI_AR_DATA_COUNT(),
448
    .AXI_AR_WR_DATA_COUNT(),
449
    .AXI_AR_RD_DATA_COUNT(),
450
    .AXI_AR_SBITERR(),
451
    .AXI_AR_DBITERR(),
452
    .AXI_AR_OVERFLOW(),
453
    .AXI_AR_UNDERFLOW(),
454
    .AXI_R_INJECTSBITERR(),
455
    .AXI_R_INJECTDBITERR(),
456
    .AXI_R_PROG_FULL_THRESH(),
457
    .AXI_R_PROG_EMPTY_THRESH(),
458
    .AXI_R_DATA_COUNT(),
459
    .AXI_R_WR_DATA_COUNT(),
460
    .AXI_R_RD_DATA_COUNT(),
461
    .AXI_R_SBITERR(),
462
    .AXI_R_DBITERR(),
463
    .AXI_R_OVERFLOW(),
464
    .AXI_R_UNDERFLOW(),
465
    .AXIS_INJECTSBITERR(),
466
    .AXIS_INJECTDBITERR(),
467
    .AXIS_PROG_FULL_THRESH(),
468
    .AXIS_PROG_EMPTY_THRESH(),
469
    .AXIS_DATA_COUNT(),
470
    .AXIS_WR_DATA_COUNT(),
471
    .AXIS_RD_DATA_COUNT(),
472
    .AXIS_SBITERR(),
473
    .AXIS_DBITERR(),
474
    .AXIS_OVERFLOW(),
475
    .AXIS_UNDERFLOW()
476
  );
477
 
478
// synthesis translate_on
479
 
480
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.