OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4.veo] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Virtex-6 Integrated Block for PCI Express
51
// File       : v6_pcie_v1_7_x4.veo
52
// Version    : 1.7
53
//--
54
//------------------------------------------------------------------------------
55
// The following must be inserted into your Verilog file for this
56
// core to be instantiated. Change the instance name and port connections
57
// (in parentheses) to your own signal names.
58
 
59
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
60
 
61
v6_pcie_v1_7_x4YourInstanceName (
62
 
63
  //-------------------------------------------------------
64
  // 1. PCI Express (pci_exp) Interface
65
  //-------------------------------------------------------
66
 
67
  // Tx
68
  .pci_exp_txp( pci_exp_txp ),
69
  .pci_exp_txn( pci_exp_txn ),
70
 
71
  // Rx
72
  .pci_exp_rxp( pci_exp_rxp ),
73
  .pci_exp_rxn( pci_exp_rxn ),
74
 
75
  //-------------------------------------------------------
76
  // 2. Transaction (TRN) Interface
77
  //-------------------------------------------------------
78
 
79
  // Common
80
  .trn_clk( trn_clk ),
81
  .trn_reset_n( trn_reset_n_int1 ),
82
  .trn_lnk_up_n( trn_lnk_up_n_int1 ),
83
 
84
  // Tx
85
  .trn_tbuf_av( trn_tbuf_av ),
86
  .trn_tcfg_req_n( trn_tcfg_req_n ),
87
  .trn_terr_drop_n( trn_terr_drop_n ),
88
  .trn_tdst_rdy_n( trn_tdst_rdy_n ),
89
  .trn_td( trn_td ),
90
  .trn_trem_n( trn_trem_n ),
91
  .trn_tsof_n( trn_tsof_n ),
92
  .trn_teof_n( trn_teof_n ),
93
  .trn_tsrc_rdy_n( trn_tsrc_rdy_n ),
94
  .trn_tsrc_dsc_n( trn_tsrc_dsc_n ),
95
  .trn_terrfwd_n( trn_terrfwd_n ),
96
  .trn_tcfg_gnt_n( trn_tcfg_gnt_n ),
97
  .trn_tstr_n( trn_tstr_n ),
98
 
99
  // Rx
100
  .trn_rd( trn_rd ),
101
  .trn_rrem_n( trn_rrem_n ),
102
  .trn_rsof_n( trn_rsof_n ),
103
  .trn_reof_n( trn_reof_n ),
104
  .trn_rsrc_rdy_n( trn_rsrc_rdy_n ),
105
  .trn_rsrc_dsc_n( trn_rsrc_dsc_n ),
106
  .trn_rerrfwd_n( trn_rerrfwd_n ),
107
  .trn_rbar_hit_n( trn_rbar_hit_n ),
108
  .trn_rdst_rdy_n( trn_rdst_rdy_n ),
109
  .trn_rnp_ok_n( trn_rnp_ok_n ),
110
 
111
  // Flow Control
112
  .trn_fc_cpld( trn_fc_cpld ),
113
  .trn_fc_cplh( trn_fc_cplh ),
114
  .trn_fc_npd( trn_fc_npd ),
115
  .trn_fc_nph( trn_fc_nph ),
116
  .trn_fc_pd( trn_fc_pd ),
117
  .trn_fc_ph( trn_fc_ph ),
118
  .trn_fc_sel( trn_fc_sel ),
119
 
120
 
121
  //-------------------------------------------------------
122
  // 3. Configuration (CFG) Interface
123
  //-------------------------------------------------------
124
 
125
  .cfg_do( cfg_do ),
126
  .cfg_rd_wr_done_n( cfg_rd_wr_done_n),
127
  .cfg_di( cfg_di ),
128
  .cfg_byte_en_n( cfg_byte_en_n ),
129
  .cfg_dwaddr( cfg_dwaddr ),
130
  .cfg_wr_en_n( cfg_wr_en_n ),
131
  .cfg_rd_en_n( cfg_rd_en_n ),
132
 
133
  .cfg_err_cor_n( cfg_err_cor_n ),
134
  .cfg_err_ur_n( cfg_err_ur_n ),
135
  .cfg_err_ecrc_n( cfg_err_ecrc_n ),
136
  .cfg_err_cpl_timeout_n( cfg_err_cpl_timeout_n ),
137
  .cfg_err_cpl_abort_n( cfg_err_cpl_abort_n ),
138
  .cfg_err_cpl_unexpect_n( cfg_err_cpl_unexpect_n ),
139
  .cfg_err_posted_n( cfg_err_posted_n ),
140
  .cfg_err_locked_n( cfg_err_locked_n ),
141
  .cfg_err_tlp_cpl_header( cfg_err_tlp_cpl_header ),
142
  .cfg_err_cpl_rdy_n( cfg_err_cpl_rdy_n ),
143
  .cfg_interrupt_n( cfg_interrupt_n ),
144
  .cfg_interrupt_rdy_n( cfg_interrupt_rdy_n ),
145
  .cfg_interrupt_assert_n( cfg_interrupt_assert_n ),
146
  .cfg_interrupt_di( cfg_interrupt_di ),
147
  .cfg_interrupt_do( cfg_interrupt_do ),
148
  .cfg_interrupt_mmenable( cfg_interrupt_mmenable ),
149
  .cfg_interrupt_msienable( cfg_interrupt_msienable ),
150
  .cfg_interrupt_msixenable( cfg_interrupt_msixenable ),
151
  .cfg_interrupt_msixfm( cfg_interrupt_msixfm ),
152
  .cfg_turnoff_ok_n( cfg_turnoff_ok_n ),
153
  .cfg_to_turnoff_n( cfg_to_turnoff_n ),
154
  .cfg_trn_pending_n( cfg_trn_pending_n ),
155
  .cfg_pm_wake_n( cfg_pm_wake_n ),
156
  .cfg_bus_number( cfg_bus_number ),
157
  .cfg_device_number( cfg_device_number ),
158
  .cfg_function_number( cfg_function_number ),
159
  .cfg_status( cfg_status ),
160
  .cfg_command( cfg_command ),
161
  .cfg_dstatus( cfg_dstatus ),
162
  .cfg_dcommand( cfg_dcommand ),
163
  .cfg_lstatus( cfg_lstatus ),
164
  .cfg_lcommand( cfg_lcommand ),
165
  .cfg_dcommand2( cfg_dcommand2 ),
166
  .cfg_pcie_link_state_n( cfg_pcie_link_state_n ),
167
  .cfg_dsn( cfg_dsn ),
168
  .cfg_pmcsr_pme_en( cfg_pmcsr_pme_en ),
169
  .cfg_pmcsr_pme_status( cfg_pmcsr_pme_status ),
170
  .cfg_pmcsr_powerstate( cfg_pmcsr_powerstate ),
171
 
172
  //-------------------------------------------------------
173
  // 4. Physical Layer Control and Status (PL) Interface
174
  //-------------------------------------------------------
175
 
176
  .pl_initial_link_width( pl_initial_link_width ),
177
  .pl_lane_reversal_mode( pl_lane_reversal_mode ),
178
  .pl_link_gen2_capable( pl_link_gen2_capable ),
179
  .pl_link_partner_gen2_supported( pl_link_partner_gen2_supported ),
180
  .pl_link_upcfg_capable( pl_link_upcfg_capable ),
181
  .pl_ltssm_state( pl_ltssm_state ),
182
  .pl_received_hot_rst( pl_received_hot_rst ),
183
  .pl_sel_link_rate( pl_sel_link_rate ),
184
  .pl_sel_link_width( pl_sel_link_width ),
185
  .pl_directed_link_auton( pl_directed_link_auton ),
186
  .pl_directed_link_change( pl_directed_link_change ),
187
  .pl_directed_link_speed( pl_directed_link_speed ),
188
  .pl_directed_link_width( pl_directed_link_width ),
189
  .pl_upstream_prefer_deemph( pl_upstream_prefer_deemph ),
190
 
191
  //-------------------------------------------------------
192
  // 5. System  (SYS) Interface
193
  //-------------------------------------------------------
194
 
195
  .sys_clk( sys_clk_c ),
196
  .sys_reset_n( sys_reset_n_c )
197
 
198
);
199
 
200
 
201
// INST_TAG_END ------ End INSTANTIATION Template ---------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.