OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4.vho] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : v6_pcie_v1_7_x4.vho
52
-- Version    : 1.7
53
-- VHDL Instantiation Created from source file
54
--
55
-- Notes:
56
-- 1) This instantiation template has been automatically generated using types
57
-- std_logic and std_logic_vector for the ports of the instantiated module
58
-- 2) To use this template to instantiate this entity, cut-and-paste and then edit
59
 
60
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
61
 
62
component v6_pcie_v1_7_x4  port (
63
    pci_exp_txp                    : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
64
    pci_exp_txn                    : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
65
    pci_exp_rxp                    : in  std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
66
    pci_exp_rxn                    : in  std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
67
    trn_clk                        : out std_logic;
68
    trn_reset_n                    : out std_logic;
69
    trn_lnk_up_n                   : out std_logic;
70
    trn_tbuf_av                    : out std_logic_vector(5 downto 0);
71
    trn_tcfg_req_n                 : out std_logic;
72
    trn_terr_drop_n                : out std_logic;
73
    trn_tdst_rdy_n                 : out std_logic;
74
    trn_td                         : in  std_logic_vector(63 downto 0);
75
    trn_trem_n                     : in  std_logic;
76
    trn_tsof_n                     : in  std_logic;
77
    trn_teof_n                     : in  std_logic;
78
    trn_tsrc_rdy_n                 : in  std_logic;
79
    trn_tsrc_dsc_n                 : in  std_logic;
80
    trn_terrfwd_n                  : in  std_logic;
81
    trn_tcfg_gnt_n                 : in  std_logic;
82
    trn_tstr_n                     : in  std_logic;
83
    trn_rd                         : out std_logic_vector(63 downto 0);
84
    trn_rrem_n                     : out std_logic;
85
    trn_rsof_n                     : out std_logic;
86
    trn_reof_n                     : out std_logic;
87
    trn_rsrc_rdy_n                 : out std_logic;
88
    trn_rsrc_dsc_n                 : out std_logic;
89
    trn_rerrfwd_n                  : out std_logic;
90
    trn_rbar_hit_n                 : out std_logic_vector(6 downto 0);
91
    trn_rdst_rdy_n                 : in  std_logic;
92
    trn_rnp_ok_n                   : in  std_logic;
93
    trn_fc_cpld                    : out std_logic_vector(11 downto 0);
94
    trn_fc_cplh                    : out std_logic_vector(7 downto 0);
95
    trn_fc_npd                     : out std_logic_vector(11 downto 0);
96
    trn_fc_nph                     : out std_logic_vector(7 downto 0);
97
    trn_fc_pd                      : out std_logic_vector(11 downto 0);
98
    trn_fc_ph                      : out std_logic_vector(7 downto 0);
99
    trn_fc_sel                     : in  std_logic_vector(2 downto 0);
100
    cfg_do                         : out std_logic_vector(31 downto 0);
101
    cfg_rd_wr_done_n               : out std_logic;
102
    cfg_di                         : in  std_logic_vector(31 downto 0);
103
    cfg_byte_en_n                  : in  std_logic_vector(3 downto 0);
104
    cfg_dwaddr                     : in  std_logic_vector(9 downto 0);
105
    cfg_wr_en_n                    : in  std_logic;
106
    cfg_rd_en_n                    : in  std_logic;
107
    cfg_err_cor_n                  : in  std_logic;
108
    cfg_err_ur_n                   : in  std_logic;
109
    cfg_err_ecrc_n                 : in  std_logic;
110
    cfg_err_cpl_timeout_n          : in  std_logic;
111
    cfg_err_cpl_abort_n            : in  std_logic;
112
    cfg_err_cpl_unexpect_n         : in  std_logic;
113
    cfg_err_posted_n               : in  std_logic;
114
    cfg_err_locked_n               : in  std_logic;
115
    cfg_err_tlp_cpl_header         : in  std_logic_vector(47 downto 0);
116
    cfg_err_cpl_rdy_n              : out std_logic;
117
    cfg_interrupt_n                : in  std_logic;
118
    cfg_interrupt_rdy_n            : out std_logic;
119
    cfg_interrupt_assert_n         : in  std_logic;
120
    cfg_interrupt_di               : in  std_logic_vector(7 downto 0);
121
    cfg_interrupt_do               : out std_logic_vector(7 downto 0);
122
    cfg_interrupt_mmenable         : out std_logic_vector(2 downto 0);
123
    cfg_interrupt_msienable        : out std_logic;
124
    cfg_interrupt_msixenable       : out std_logic;
125
    cfg_interrupt_msixfm           : out std_logic;
126
    cfg_turnoff_ok_n               : in  std_logic;
127
    cfg_to_turnoff_n               : out std_logic;
128
    cfg_trn_pending_n              : in  std_logic;
129
    cfg_pm_wake_n                  : in  std_logic;
130
    cfg_bus_number                 : out std_logic_vector(7 downto 0);
131
    cfg_device_number              : out std_logic_vector(4 downto 0);
132
    cfg_function_number            : out std_logic_vector(2 downto 0);
133
    cfg_status                     : out std_logic_vector(15 downto 0);
134
    cfg_command                    : out std_logic_vector(15 downto 0);
135
    cfg_dstatus                    : out std_logic_vector(15 downto 0);
136
    cfg_dcommand                   : out std_logic_vector(15 downto 0);
137
    cfg_lstatus                    : out std_logic_vector(15 downto 0);
138
    cfg_lcommand                   : out std_logic_vector(15 downto 0);
139
    cfg_dcommand2                  : out std_logic_vector(15 downto 0);
140
    cfg_pcie_link_state_n          : out std_logic_vector(2 downto 0);
141
    cfg_dsn                        : in  std_logic_vector(63 downto 0);
142
    cfg_pmcsr_pme_en               : out std_logic;
143
    cfg_pmcsr_pme_status           : out std_logic;
144
    cfg_pmcsr_powerstate           : out std_logic_vector(1 downto 0);
145
    pl_initial_link_width          : out std_logic_vector(2 downto 0);
146
    pl_lane_reversal_mode          : out std_logic_vector(1 downto 0);
147
    pl_link_gen2_capable           : out std_logic;
148
    pl_link_partner_gen2_supported : out std_logic;
149
    pl_link_upcfg_capable          : out std_logic;
150
    pl_ltssm_state                 : out std_logic_vector(5 downto 0);
151
    pl_received_hot_rst            : out std_logic;
152
    pl_sel_link_rate               : out std_logic;
153
    pl_sel_link_width              : out std_logic_vector(1 downto 0);
154
    pl_directed_link_auton         : in  std_logic;
155
    pl_directed_link_change        : in  std_logic_vector(1 downto 0);
156
    pl_directed_link_speed         : in  std_logic;
157
    pl_directed_link_width         : in  std_logic_vector(1 downto 0);
158
    pl_upstream_prefer_deemph      : in  std_logic;
159
    sys_clk                        : in  std_logic;
160
    sys_reset_n                    : in  std_logic);
161
end component;
162
 
163
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
164
 
165
 
166
-- The following code must appear in the VHDL architecture
167
-- body. Substitute your own instance name and net names.
168
----------- Begin Cut here for INSTANTIATION Template --- INST_TAG
169
 
170
 
171
your_instance_name : v6_pcie_v1_7_x4  port map (
172
    pci_exp_txp                    => pci_exp_txp,
173
    pci_exp_txn                    => pci_exp_txn,
174
    pci_exp_rxp                    => pci_exp_rxp,
175
    pci_exp_rxn                    => pci_exp_rxn,
176
    trn_clk                        => trn_clk,
177
    trn_reset_n                    => trn_reset_n,
178
    trn_lnk_up_n                   => trn_lnk_up_n,
179
    trn_tbuf_av                    => trn_tbuf_av,
180
    trn_tcfg_req_n                 => trn_tcfg_req_n,
181
    trn_terr_drop_n                => trn_terr_drop_n,
182
    trn_tdst_rdy_n                 => trn_tdst_rdy_n,
183
    trn_td                         => trn_td,
184
    trn_trem_n                     => trn_trem_n,
185
    trn_tsof_n                     => trn_tsof_n,
186
    trn_teof_n                     => trn_teof_n,
187
    trn_tsrc_rdy_n                 => trn_tsrc_rdy_n,
188
    trn_tsrc_dsc_n                 => trn_tsrc_dsc_n,
189
    trn_terrfwd_n                  => trn_terrfwd_n,
190
    trn_tcfg_gnt_n                 => trn_tcfg_gnt_n,
191
    trn_tstr_n                     => trn_tstr_n,
192
    trn_rd                         => trn_rd,
193
    trn_rrem_n                     => trn_rrem_n,
194
    trn_rsof_n                     => trn_rsof_n,
195
    trn_reof_n                     => trn_reof_n,
196
    trn_rsrc_rdy_n                 => trn_rsrc_rdy_n,
197
    trn_rsrc_dsc_n                 => trn_rsrc_dsc_n,
198
    trn_rerrfwd_n                  => trn_rerrfwd_n,
199
    trn_rbar_hit_n                 => trn_rbar_hit_n,
200
    trn_rdst_rdy_n                 => trn_rdst_rdy_n,
201
    trn_rnp_ok_n                   => trn_rnp_ok_n,
202
    trn_fc_cpld                    => trn_fc_cpld,
203
    trn_fc_cplh                    => trn_fc_cplh,
204
    trn_fc_npd                     => trn_fc_npd,
205
    trn_fc_nph                     => trn_fc_nph,
206
    trn_fc_pd                      => trn_fc_pd,
207
    trn_fc_ph                      => trn_fc_ph,
208
    trn_fc_sel                     => trn_fc_sel,
209
    cfg_do                         => cfg_do,
210
    cfg_rd_wr_done_n               => cfg_rd_wr_done_n,
211
    cfg_di                         => cfg_di,
212
    cfg_byte_en_n                  => cfg_byte_en_n,
213
    cfg_dwaddr                     => cfg_dwaddr,
214
    cfg_wr_en_n                    => cfg_wr_en_n,
215
    cfg_rd_en_n                    => cfg_rd_en_n,
216
    cfg_err_cor_n                  => cfg_err_cor_n,
217
    cfg_err_ur_n                   => cfg_err_ur_n,
218
    cfg_err_ecrc_n                 => cfg_err_ecrc_n,
219
    cfg_err_cpl_timeout_n          => cfg_err_cpl_timeout_n,
220
    cfg_err_cpl_abort_n            => cfg_err_cpl_abort_n,
221
    cfg_err_cpl_unexpect_n         => cfg_err_cpl_unexpect_n,
222
    cfg_err_posted_n               => cfg_err_posted_n,
223
    cfg_err_locked_n               => cfg_err_locked_n,
224
    cfg_err_tlp_cpl_header         => cfg_err_tlp_cpl_header,
225
    cfg_err_cpl_rdy_n              => cfg_err_cpl_rdy_n,
226
    cfg_interrupt_n                => cfg_interrupt_n,
227
    cfg_interrupt_rdy_n            => cfg_interrupt_rdy_n,
228
    cfg_interrupt_assert_n         => cfg_interrupt_assert_n,
229
    cfg_interrupt_di               => cfg_interrupt_di,
230
    cfg_interrupt_do               => cfg_interrupt_do,
231
    cfg_interrupt_mmenable         => cfg_interrupt_mmenable,
232
    cfg_interrupt_msienable        => cfg_interrupt_msienable,
233
    cfg_interrupt_msixenable       => cfg_interrupt_msixenable,
234
    cfg_interrupt_msixfm           => cfg_interrupt_msixfm,
235
    cfg_turnoff_ok_n               => cfg_turnoff_ok_n,
236
    cfg_to_turnoff_n               => cfg_to_turnoff_n,
237
    cfg_trn_pending_n              => cfg_trn_pending_n,
238
    cfg_pm_wake_n                  => cfg_pm_wake_n,
239
    cfg_bus_number                 => cfg_bus_number,
240
    cfg_device_number              => cfg_device_number,
241
    cfg_function_number            => cfg_function_number,
242
    cfg_status                     => cfg_status,
243
    cfg_command                    => cfg_command,
244
    cfg_dstatus                    => cfg_dstatus,
245
    cfg_dcommand                   => cfg_dcommand,
246
    cfg_lstatus                    => cfg_lstatus,
247
    cfg_lcommand                   => cfg_lcommand,
248
    cfg_dcommand2                  => cfg_dcommand2,
249
    cfg_pcie_link_state_n          => cfg_pcie_link_state_n,
250
    cfg_dsn                        => cfg_dsn,
251
    cfg_pmcsr_pme_en               => cfg_pmcsr_pme_en,
252
    cfg_pmcsr_pme_status           => cfg_pmcsr_pme_status,
253
    cfg_pmcsr_powerstate           => cfg_pmcsr_powerstate,
254
    pl_initial_link_width          => pl_initial_link_width,
255
    pl_lane_reversal_mode          => pl_lane_reversal_mode,
256
    pl_link_gen2_capable           => pl_link_gen2_capable,
257
    pl_link_partner_gen2_supported => pl_link_partner_gen2_supported,
258
    pl_link_upcfg_capable          => pl_link_upcfg_capable,
259
    pl_ltssm_state                 => pl_ltssm_state,
260
    pl_received_hot_rst            => pl_received_hot_rst,
261
    pl_sel_link_rate               => pl_sel_link_rate,
262
    pl_sel_link_width              => pl_sel_link_width,
263
    pl_directed_link_auton         => pl_directed_link_auton,
264
    pl_directed_link_change        => pl_directed_link_change,
265
    pl_directed_link_speed         => pl_directed_link_speed,
266
    pl_directed_link_width         => pl_directed_link_width,
267
    pl_upstream_prefer_deemph      => pl_upstream_prefer_deemph,
268
    sys_clk                        => sys_clk,
269
    sys_reset_n                    => sys_reset_n);
270
 
271
 
272
-- INST_TAG_END ------ End INSTANTIATION Template ---------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.