OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [de2_115/] [pdp8_top.qsf] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 trurl
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 11.1 Build 173 11/01/2011 SJ Full Version
21
# Date created = 19:10:35  October 31, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               pdp8_top_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40
set_global_assignment -name DEVICE EP4CE115F29C7
41
set_global_assignment -name TOP_LEVEL_ENTITY pdp8_top
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 11.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:10:35  OCTOBER 31, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 11.1
45
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_types.vhd
46
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_tx.vhd
47
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_rx.vhd
48
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_brg.vhd
49
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sdspi_types.vhd
50
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sdspi.vhd
51
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sd_types.vhd
52
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sd.vhd
53
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/rk05_types.vhd
54
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/rk05.vhd
55
set_global_assignment -name VHDL_FILE ../pdp8/kl8e/kl8e_tx.vhd
56
set_global_assignment -name VHDL_FILE ../pdp8/kl8e/kl8e_rx.vhd
57
set_global_assignment -name VHDL_FILE ../pdp8/cpu/xma.vhd
58
set_global_assignment -name VHDL_FILE ../pdp8/cpu/usrtrp.vhd
59
set_global_assignment -name VHDL_FILE ../pdp8/cpu/uf.vhd
60
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ub.vhd
61
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sr.vhd
62
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sp.vhd
63
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sf.vhd
64
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sc.vhd
65
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pwrtrp.vhd
66
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pnltrp.vhd
67
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pex.vhd
68
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pdf.vhd
69
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pc.vhd
70
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mqa.vhd
71
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mq.vhd
72
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mb.vhd
73
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ma.vhd
74
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ir.vhd
75
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ii.vhd
76
set_global_assignment -name VHDL_FILE ../pdp8/cpu/if.vhd
77
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ie.vhd
78
set_global_assignment -name VHDL_FILE ../pdp8/cpu/id.vhd
79
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ib.vhd
80
set_global_assignment -name VHDL_FILE ../pdp8/cpu/hlttrp.vhd
81
set_global_assignment -name VHDL_FILE ../pdp8/cpu/gtf.vhd
82
set_global_assignment -name VHDL_FILE ../pdp8/cpu/fz.vhd
83
set_global_assignment -name VHDL_FILE ../pdp8/cpu/emode.vhd
84
set_global_assignment -name VHDL_FILE ../pdp8/cpu/eae.vhd
85
set_global_assignment -name VHDL_FILE ../pdp8/cpu/df.vhd
86
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ctrlff.vhd
87
set_global_assignment -name VHDL_FILE ../pdp8/cpu/cpu_types.vhd
88
set_global_assignment -name VHDL_FILE ../pdp8/cpu/cpu.vhd
89
set_global_assignment -name VHDL_FILE ../pdp8/cpu/btstrp.vhd
90
set_global_assignment -name VHDL_FILE ../pdp8/cpu/alu.vhd
91
set_global_assignment -name VHDL_FILE ../pdp8/rk8e_types.vhd
92
set_global_assignment -name VHDL_FILE ../pdp8/rk8e.vhd
93
set_global_assignment -name VHDL_FILE ../pdp8/pr8e_types.vhd
94
set_global_assignment -name VHDL_FILE ../pdp8/pr8e.vhd
95
set_global_assignment -name VHDL_FILE ../pdp8/pdp8.vhd
96
set_global_assignment -name VHDL_FILE ../pdp8/ms8c.vhd
97
set_global_assignment -name VHDL_FILE ../pdp8/ls8e_types.vhd
98
set_global_assignment -name VHDL_FILE ../pdp8/ls8e.vhd
99
set_global_assignment -name VHDL_FILE ../pdp8/kl8e_types.vhd
100
set_global_assignment -name VHDL_FILE ../pdp8/kl8e.vhd
101
set_global_assignment -name VHDL_FILE ../pdp8/kc8e_types.vhd
102
set_global_assignment -name VHDL_FILE ../pdp8/kc8e.vhd
103
set_global_assignment -name VHDL_FILE ../pdp8/dk8e_types.vhd
104
set_global_assignment -name VHDL_FILE ../pdp8/dk8e.vhd
105
set_global_assignment -name VHDL_FILE ../pdp8/dev_types.vhd
106
set_global_assignment -name VHDL_FILE ../pdp8/busmux.vhd
107
set_global_assignment -name VHDL_FILE ../pdp8/busmon.vhd
108
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
109
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
110
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 780
111
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
112
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
113
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
114
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
115
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
116
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
117
set_location_assignment PIN_D2 -to AUD_ADCDAT
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT
119
set_location_assignment PIN_C2 -to AUD_ADCLRCK
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK
121
set_location_assignment PIN_F2 -to AUD_BCLK
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK
123
set_location_assignment PIN_D1 -to AUD_DACDAT
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT
125
set_location_assignment PIN_E3 -to AUD_DACLRCK
126
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK
127
set_location_assignment PIN_E1 -to AUD_XCK
128
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK
129
set_location_assignment PIN_Y2 -to CLOCK_50
130
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
131
set_location_assignment PIN_AG14 -to CLOCK2_50
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50
133
set_location_assignment PIN_AG15 -to CLOCK3_50
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50
135
set_location_assignment PIN_D14 -to EEP_I2C_SCLK
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK
137
set_location_assignment PIN_E14 -to EEP_I2C_SDAT
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT
139
set_location_assignment PIN_A14 -to ENETCLK_25
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25
141
set_location_assignment PIN_A17 -to ENET0_GTX_CLK
142
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK
143
set_location_assignment PIN_A21 -to ENET0_INT_N
144
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N
145
set_location_assignment PIN_C14 -to ENET0_LINK100
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100
147
set_location_assignment PIN_C20 -to ENET0_MDC
148
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC
149
set_location_assignment PIN_B21 -to ENET0_MDIO
150
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO
151
set_location_assignment PIN_C19 -to ENET0_RST_N
152
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RST_N
153
set_location_assignment PIN_A15 -to ENET0_RX_CLK
154
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK
155
set_location_assignment PIN_E15 -to ENET0_RX_COL
156
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL
157
set_location_assignment PIN_D15 -to ENET0_RX_CRS
158
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS
159
set_location_assignment PIN_C16 -to ENET0_RX_DATA[0]
160
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0]
161
set_location_assignment PIN_D16 -to ENET0_RX_DATA[1]
162
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1]
163
set_location_assignment PIN_D17 -to ENET0_RX_DATA[2]
164
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2]
165
set_location_assignment PIN_C15 -to ENET0_RX_DATA[3]
166
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3]
167
set_location_assignment PIN_C17 -to ENET0_RX_DV
168
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV
169
set_location_assignment PIN_D18 -to ENET0_RX_ER
170
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER
171
set_location_assignment PIN_B17 -to ENET0_TX_CLK
172
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK
173
set_location_assignment PIN_C18 -to ENET0_TX_DATA[0]
174
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0]
175
set_location_assignment PIN_D19 -to ENET0_TX_DATA[1]
176
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1]
177
set_location_assignment PIN_A19 -to ENET0_TX_DATA[2]
178
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2]
179
set_location_assignment PIN_B19 -to ENET0_TX_DATA[3]
180
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3]
181
set_location_assignment PIN_A18 -to ENET0_TX_EN
182
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN
183
set_location_assignment PIN_B18 -to ENET0_TX_ER
184
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER
185
set_location_assignment PIN_AG12 -to FL_ADDR[0]
186
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
187
set_location_assignment PIN_AH7 -to FL_ADDR[1]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
189
set_location_assignment PIN_Y13 -to FL_ADDR[2]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
191
set_location_assignment PIN_Y14 -to FL_ADDR[3]
192
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
193
set_location_assignment PIN_Y12 -to FL_ADDR[4]
194
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
195
set_location_assignment PIN_AA13 -to FL_ADDR[5]
196
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
197
set_location_assignment PIN_AA12 -to FL_ADDR[6]
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
199
set_location_assignment PIN_AB13 -to FL_ADDR[7]
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
201
set_location_assignment PIN_AB12 -to FL_ADDR[8]
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
203
set_location_assignment PIN_AB10 -to FL_ADDR[9]
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
205
set_location_assignment PIN_AE9 -to FL_ADDR[10]
206
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
207
set_location_assignment PIN_AF9 -to FL_ADDR[11]
208
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
209
set_location_assignment PIN_AA10 -to FL_ADDR[12]
210
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
211
set_location_assignment PIN_AD8 -to FL_ADDR[13]
212
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
213
set_location_assignment PIN_AC8 -to FL_ADDR[14]
214
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
215
set_location_assignment PIN_Y10 -to FL_ADDR[15]
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
217
set_location_assignment PIN_AA8 -to FL_ADDR[16]
218
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
219
set_location_assignment PIN_AH12 -to FL_ADDR[17]
220
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
221
set_location_assignment PIN_AC12 -to FL_ADDR[18]
222
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
223
set_location_assignment PIN_AD12 -to FL_ADDR[19]
224
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
225
set_location_assignment PIN_AE10 -to FL_ADDR[20]
226
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
227
set_location_assignment PIN_AD10 -to FL_ADDR[21]
228
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
229
set_location_assignment PIN_AD11 -to FL_ADDR[22]
230
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22]
231
set_location_assignment PIN_AG7 -to FL_CE_N
232
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
233
set_location_assignment PIN_AH8 -to FL_DQ[0]
234
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
235
set_location_assignment PIN_AF10 -to FL_DQ[1]
236
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
237
set_location_assignment PIN_AG10 -to FL_DQ[2]
238
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
239
set_location_assignment PIN_AH10 -to FL_DQ[3]
240
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
241
set_location_assignment PIN_AF11 -to FL_DQ[4]
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
243
set_location_assignment PIN_AG11 -to FL_DQ[5]
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
245
set_location_assignment PIN_AH11 -to FL_DQ[6]
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
247
set_location_assignment PIN_AF12 -to FL_DQ[7]
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
249
set_location_assignment PIN_AG8 -to FL_OE_N
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
251
set_location_assignment PIN_AE11 -to FL_RST_N
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
253
set_location_assignment PIN_Y1 -to FL_RY
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
255
set_location_assignment PIN_AC10 -to FL_WE_N
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
257
set_location_assignment PIN_AE12 -to FL_WP_N
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
259
set_location_assignment PIN_AB22 -to GPIO[0]
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0]
261
set_location_assignment PIN_AC15 -to GPIO[1]
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1]
263
set_location_assignment PIN_AB21 -to GPIO[2]
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2]
265
set_location_assignment PIN_Y17 -to GPIO[3]
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3]
267
set_location_assignment PIN_AC21 -to GPIO[4]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4]
269
set_location_assignment PIN_Y16 -to GPIO[5]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5]
271
set_location_assignment PIN_AD21 -to GPIO[6]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6]
273
set_location_assignment PIN_AE16 -to GPIO[7]
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7]
275
set_location_assignment PIN_AD15 -to GPIO[8]
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8]
277
set_location_assignment PIN_AE15 -to GPIO[9]
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9]
279
set_location_assignment PIN_AC19 -to GPIO[10]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10]
281
set_location_assignment PIN_AF16 -to GPIO[11]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11]
283
set_location_assignment PIN_AD19 -to GPIO[12]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12]
285
set_location_assignment PIN_AF15 -to GPIO[13]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13]
287
set_location_assignment PIN_AF24 -to GPIO[14]
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14]
289
set_location_assignment PIN_AE21 -to GPIO[15]
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15]
291
set_location_assignment PIN_AF25 -to GPIO[16]
292
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16]
293
set_location_assignment PIN_AC22 -to GPIO[17]
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17]
295
set_location_assignment PIN_AE22 -to GPIO[18]
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18]
297
set_location_assignment PIN_AF21 -to GPIO[19]
298
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19]
299
set_location_assignment PIN_AF22 -to GPIO[20]
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20]
301
set_location_assignment PIN_AD22 -to GPIO[21]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21]
303
set_location_assignment PIN_AG25 -to GPIO[22]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22]
305
set_location_assignment PIN_AD25 -to GPIO[23]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23]
307
set_location_assignment PIN_AH25 -to GPIO[24]
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24]
309
set_location_assignment PIN_AE25 -to GPIO[25]
310
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25]
311
set_location_assignment PIN_AG22 -to GPIO[26]
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26]
313
set_location_assignment PIN_AE24 -to GPIO[27]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27]
315
set_location_assignment PIN_AH22 -to GPIO[28]
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28]
317
set_location_assignment PIN_AF26 -to GPIO[29]
318
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29]
319
set_location_assignment PIN_AE20 -to GPIO[30]
320
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30]
321
set_location_assignment PIN_AG23 -to GPIO[31]
322
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31]
323
set_location_assignment PIN_AF20 -to GPIO[32]
324
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32]
325
set_location_assignment PIN_AH26 -to GPIO[33]
326
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33]
327
set_location_assignment PIN_AH23 -to GPIO[34]
328
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34]
329
set_location_assignment PIN_AG26 -to GPIO[35]
330
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35]
331
set_location_assignment PIN_G18 -to HEX0[0]
332
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0]
333
set_location_assignment PIN_F22 -to HEX0[1]
334
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1]
335
set_location_assignment PIN_E17 -to HEX0[2]
336
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2]
337
set_location_assignment PIN_L26 -to HEX0[3]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
339
set_location_assignment PIN_L25 -to HEX0[4]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
341
set_location_assignment PIN_J22 -to HEX0[5]
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
343
set_location_assignment PIN_H22 -to HEX0[6]
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
345
set_location_assignment PIN_M24 -to HEX1[0]
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
347
set_location_assignment PIN_Y22 -to HEX1[1]
348
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
349
set_location_assignment PIN_W21 -to HEX1[2]
350
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
351
set_location_assignment PIN_W22 -to HEX1[3]
352
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
353
set_location_assignment PIN_W25 -to HEX1[4]
354
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
355
set_location_assignment PIN_U23 -to HEX1[5]
356
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
357
set_location_assignment PIN_U24 -to HEX1[6]
358
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
359
set_location_assignment PIN_AA25 -to HEX2[0]
360
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
361
set_location_assignment PIN_AA26 -to HEX2[1]
362
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
363
set_location_assignment PIN_Y25 -to HEX2[2]
364
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
365
set_location_assignment PIN_W26 -to HEX2[3]
366
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
367
set_location_assignment PIN_Y26 -to HEX2[4]
368
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
369
set_location_assignment PIN_W27 -to HEX2[5]
370
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
371
set_location_assignment PIN_W28 -to HEX2[6]
372
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
373
set_location_assignment PIN_V21 -to HEX3[0]
374
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
375
set_location_assignment PIN_U21 -to HEX3[1]
376
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
377
set_location_assignment PIN_AB20 -to HEX3[2]
378
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
379
set_location_assignment PIN_AA21 -to HEX3[3]
380
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
381
set_location_assignment PIN_AD24 -to HEX3[4]
382
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
383
set_location_assignment PIN_AF23 -to HEX3[5]
384
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
385
set_location_assignment PIN_Y19 -to HEX3[6]
386
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
387
set_location_assignment PIN_AB19 -to HEX4[0]
388
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0]
389
set_location_assignment PIN_AA19 -to HEX4[1]
390
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1]
391
set_location_assignment PIN_AG21 -to HEX4[2]
392
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2]
393
set_location_assignment PIN_AH21 -to HEX4[3]
394
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3]
395
set_location_assignment PIN_AE19 -to HEX4[4]
396
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4]
397
set_location_assignment PIN_AF19 -to HEX4[5]
398
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5]
399
set_location_assignment PIN_AE18 -to HEX4[6]
400
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6]
401
set_location_assignment PIN_AD18 -to HEX5[0]
402
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0]
403
set_location_assignment PIN_AC18 -to HEX5[1]
404
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1]
405
set_location_assignment PIN_AB18 -to HEX5[2]
406
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2]
407
set_location_assignment PIN_AH19 -to HEX5[3]
408
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3]
409
set_location_assignment PIN_AG19 -to HEX5[4]
410
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4]
411
set_location_assignment PIN_AF18 -to HEX5[5]
412
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5]
413
set_location_assignment PIN_AH18 -to HEX5[6]
414
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6]
415
set_location_assignment PIN_AA17 -to HEX6[0]
416
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0]
417
set_location_assignment PIN_AB16 -to HEX6[1]
418
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1]
419
set_location_assignment PIN_AA16 -to HEX6[2]
420
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2]
421
set_location_assignment PIN_AB17 -to HEX6[3]
422
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3]
423
set_location_assignment PIN_AB15 -to HEX6[4]
424
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4]
425
set_location_assignment PIN_AA15 -to HEX6[5]
426
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5]
427
set_location_assignment PIN_AC17 -to HEX6[6]
428
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6]
429
set_location_assignment PIN_AD17 -to HEX7[0]
430
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0]
431
set_location_assignment PIN_AE17 -to HEX7[1]
432
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1]
433
set_location_assignment PIN_AG17 -to HEX7[2]
434
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2]
435
set_location_assignment PIN_AH17 -to HEX7[3]
436
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3]
437
set_location_assignment PIN_AF17 -to HEX7[4]
438
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4]
439
set_location_assignment PIN_AG18 -to HEX7[5]
440
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5]
441
set_location_assignment PIN_AA14 -to HEX7[6]
442
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6]
443
set_location_assignment PIN_B7 -to I2C_SCLK
444
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
445
set_location_assignment PIN_A8 -to I2C_SDAT
446
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
447
set_location_assignment PIN_M23 -to KEY[0]
448
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
449
set_location_assignment PIN_M21 -to KEY[1]
450
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
451
set_location_assignment PIN_N21 -to KEY[2]
452
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
453
set_location_assignment PIN_R24 -to KEY[3]
454
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
455
set_location_assignment PIN_L6 -to LCD_BLON
456
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
457
set_location_assignment PIN_L3 -to LCD_DATA[0]
458
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
459
set_location_assignment PIN_L1 -to LCD_DATA[1]
460
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
461
set_location_assignment PIN_L2 -to LCD_DATA[2]
462
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
463
set_location_assignment PIN_K7 -to LCD_DATA[3]
464
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
465
set_location_assignment PIN_K1 -to LCD_DATA[4]
466
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
467
set_location_assignment PIN_K2 -to LCD_DATA[5]
468
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
469
set_location_assignment PIN_M3 -to LCD_DATA[6]
470
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
471
set_location_assignment PIN_M5 -to LCD_DATA[7]
472
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
473
set_location_assignment PIN_L4 -to LCD_EN
474
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
475
set_location_assignment PIN_L5 -to LCD_ON
476
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON
477
set_location_assignment PIN_M2 -to LCD_RS
478
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
479
set_location_assignment PIN_M1 -to LCD_RW
480
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
481
set_location_assignment PIN_E21 -to LEDG[0]
482
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0]
483
set_location_assignment PIN_E22 -to LEDG[1]
484
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1]
485
set_location_assignment PIN_E25 -to LEDG[2]
486
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2]
487
set_location_assignment PIN_E24 -to LEDG[3]
488
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3]
489
set_location_assignment PIN_H21 -to LEDG[4]
490
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4]
491
set_location_assignment PIN_G20 -to LEDG[5]
492
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5]
493
set_location_assignment PIN_G22 -to LEDG[6]
494
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6]
495
set_location_assignment PIN_G21 -to LEDG[7]
496
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7]
497
set_location_assignment PIN_F17 -to LEDG[8]
498
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8]
499
set_location_assignment PIN_G19 -to LEDR[0]
500
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0]
501
set_location_assignment PIN_F19 -to LEDR[1]
502
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1]
503
set_location_assignment PIN_E19 -to LEDR[2]
504
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2]
505
set_location_assignment PIN_F21 -to LEDR[3]
506
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3]
507
set_location_assignment PIN_F18 -to LEDR[4]
508
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4]
509
set_location_assignment PIN_E18 -to LEDR[5]
510
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5]
511
set_location_assignment PIN_J19 -to LEDR[6]
512
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6]
513
set_location_assignment PIN_H19 -to LEDR[7]
514
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7]
515
set_location_assignment PIN_J17 -to LEDR[8]
516
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8]
517
set_location_assignment PIN_G17 -to LEDR[9]
518
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9]
519
set_location_assignment PIN_J15 -to LEDR[10]
520
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10]
521
set_location_assignment PIN_H16 -to LEDR[11]
522
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11]
523
set_location_assignment PIN_J16 -to LEDR[12]
524
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12]
525
set_location_assignment PIN_H17 -to LEDR[13]
526
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13]
527
set_location_assignment PIN_F15 -to LEDR[14]
528
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14]
529
set_location_assignment PIN_G15 -to LEDR[15]
530
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15]
531
set_location_assignment PIN_G16 -to LEDR[16]
532
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16]
533
set_location_assignment PIN_H15 -to LEDR[17]
534
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17]
535
set_location_assignment PIN_G6 -to PS2_CLK
536
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
537
set_location_assignment PIN_G5 -to PS2_CLK2
538
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2
539
set_location_assignment PIN_H5 -to PS2_DAT
540
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
541
set_location_assignment PIN_F5 -to PS2_DAT2
542
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2
543
set_location_assignment PIN_AE13 -to SD_CLK
544
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
545
set_location_assignment PIN_AD14 -to SD_CMD
546
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
547
set_location_assignment PIN_AE14 -to SD_DAT0
548
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
549
set_location_assignment PIN_AF13 -to SD_DAT1
550
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT1
551
set_location_assignment PIN_AB14 -to SD_DAT2
552
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT2
553
set_location_assignment PIN_AC14 -to SD_DAT3
554
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
555
set_location_assignment PIN_AF14 -to SD_WP_N
556
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
557
set_location_assignment PIN_AB7 -to SRAM_ADDR[0]
558
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0]
559
set_location_assignment PIN_AD7 -to SRAM_ADDR[1]
560
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1]
561
set_location_assignment PIN_AE7 -to SRAM_ADDR[2]
562
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2]
563
set_location_assignment PIN_AC7 -to SRAM_ADDR[3]
564
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3]
565
set_location_assignment PIN_AB6 -to SRAM_ADDR[4]
566
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4]
567
set_location_assignment PIN_AE6 -to SRAM_ADDR[5]
568
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5]
569
set_location_assignment PIN_AB5 -to SRAM_ADDR[6]
570
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6]
571
set_location_assignment PIN_AC5 -to SRAM_ADDR[7]
572
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7]
573
set_location_assignment PIN_AF5 -to SRAM_ADDR[8]
574
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8]
575
set_location_assignment PIN_T7 -to SRAM_ADDR[9]
576
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9]
577
set_location_assignment PIN_AF2 -to SRAM_ADDR[10]
578
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10]
579
set_location_assignment PIN_AD3 -to SRAM_ADDR[11]
580
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11]
581
set_location_assignment PIN_AB4 -to SRAM_ADDR[12]
582
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12]
583
set_location_assignment PIN_AC3 -to SRAM_ADDR[13]
584
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13]
585
set_location_assignment PIN_AA4 -to SRAM_ADDR[14]
586
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14]
587
set_location_assignment PIN_AB11 -to SRAM_ADDR[15]
588
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15]
589
set_location_assignment PIN_AC11 -to SRAM_ADDR[16]
590
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16]
591
set_location_assignment PIN_AB9 -to SRAM_ADDR[17]
592
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17]
593
set_location_assignment PIN_AB8 -to SRAM_ADDR[18]
594
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18]
595
set_location_assignment PIN_T8 -to SRAM_ADDR[19]
596
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19]
597
set_location_assignment PIN_AF8 -to SRAM_CE_N
598
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N
599
set_location_assignment PIN_AH3 -to SRAM_DQ[0]
600
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0]
601
set_location_assignment PIN_AF4 -to SRAM_DQ[1]
602
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1]
603
set_location_assignment PIN_AG4 -to SRAM_DQ[2]
604
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2]
605
set_location_assignment PIN_AH4 -to SRAM_DQ[3]
606
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3]
607
set_location_assignment PIN_AF6 -to SRAM_DQ[4]
608
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4]
609
set_location_assignment PIN_AG6 -to SRAM_DQ[5]
610
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5]
611
set_location_assignment PIN_AH6 -to SRAM_DQ[6]
612
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6]
613
set_location_assignment PIN_AF7 -to SRAM_DQ[7]
614
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7]
615
set_location_assignment PIN_AD1 -to SRAM_DQ[8]
616
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8]
617
set_location_assignment PIN_AD2 -to SRAM_DQ[9]
618
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9]
619
set_location_assignment PIN_AE2 -to SRAM_DQ[10]
620
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10]
621
set_location_assignment PIN_AE1 -to SRAM_DQ[11]
622
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11]
623
set_location_assignment PIN_AE3 -to SRAM_DQ[12]
624
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12]
625
set_location_assignment PIN_AE4 -to SRAM_DQ[13]
626
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13]
627
set_location_assignment PIN_AF3 -to SRAM_DQ[14]
628
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14]
629
set_location_assignment PIN_AG3 -to SRAM_DQ[15]
630
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15]
631
set_location_assignment PIN_AD4 -to SRAM_LB_N
632
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N
633
set_location_assignment PIN_AD5 -to SRAM_OE_N
634
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N
635
set_location_assignment PIN_AC4 -to SRAM_UB_N
636
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N
637
set_location_assignment PIN_AE8 -to SRAM_WE_N
638
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N
639
set_location_assignment PIN_AB28 -to SW[0]
640
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
641
set_location_assignment PIN_AC28 -to SW[1]
642
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
643
set_location_assignment PIN_AC27 -to SW[2]
644
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
645
set_location_assignment PIN_AD27 -to SW[3]
646
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
647
set_location_assignment PIN_AB27 -to SW[4]
648
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
649
set_location_assignment PIN_AC26 -to SW[5]
650
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
651
set_location_assignment PIN_AD26 -to SW[6]
652
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
653
set_location_assignment PIN_AB26 -to SW[7]
654
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
655
set_location_assignment PIN_AC25 -to SW[8]
656
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
657
set_location_assignment PIN_AB25 -to SW[9]
658
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
659
set_location_assignment PIN_AC24 -to SW[10]
660
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[10]
661
set_location_assignment PIN_AB24 -to SW[11]
662
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[11]
663
set_location_assignment PIN_AB23 -to SW[12]
664
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[12]
665
set_location_assignment PIN_AA24 -to SW[13]
666
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[13]
667
set_location_assignment PIN_AA23 -to SW[14]
668
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[14]
669
set_location_assignment PIN_AA22 -to SW[15]
670
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[15]
671
set_location_assignment PIN_Y24 -to SW[16]
672
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[16]
673
set_location_assignment PIN_Y23 -to SW[17]
674
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[17]
675
set_location_assignment PIN_G14 -to UART_CTS
676
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
677
set_location_assignment PIN_J13 -to UART_RTS
678
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
679
set_location_assignment PIN_G12 -to UART_RXD
680
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
681
set_location_assignment PIN_G9 -to UART_TXD
682
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
683
set_location_assignment PIN_F11 -to VGA_BLANK_N
684
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N
685
set_location_assignment PIN_B10 -to VGA_B[0]
686
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
687
set_location_assignment PIN_A10 -to VGA_B[1]
688
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
689
set_location_assignment PIN_C11 -to VGA_B[2]
690
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
691
set_location_assignment PIN_B11 -to VGA_B[3]
692
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
693
set_location_assignment PIN_A11 -to VGA_B[4]
694
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4]
695
set_location_assignment PIN_C12 -to VGA_B[5]
696
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5]
697
set_location_assignment PIN_D11 -to VGA_B[6]
698
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6]
699
set_location_assignment PIN_D12 -to VGA_B[7]
700
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7]
701
set_location_assignment PIN_A12 -to VGA_CLK
702
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK
703
set_location_assignment PIN_G8 -to VGA_G[0]
704
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
705
set_location_assignment PIN_G11 -to VGA_G[1]
706
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
707
set_location_assignment PIN_F8 -to VGA_G[2]
708
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
709
set_location_assignment PIN_H12 -to VGA_G[3]
710
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
711
set_location_assignment PIN_C8 -to VGA_G[4]
712
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4]
713
set_location_assignment PIN_B8 -to VGA_G[5]
714
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5]
715
set_location_assignment PIN_F10 -to VGA_G[6]
716
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6]
717
set_location_assignment PIN_C9 -to VGA_G[7]
718
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7]
719
set_location_assignment PIN_G13 -to VGA_HS
720
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
721
set_location_assignment PIN_E12 -to VGA_R[0]
722
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
723
set_location_assignment PIN_E11 -to VGA_R[1]
724
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
725
set_location_assignment PIN_D10 -to VGA_R[2]
726
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
727
set_location_assignment PIN_F12 -to VGA_R[3]
728
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
729
set_location_assignment PIN_G10 -to VGA_R[4]
730
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4]
731
set_location_assignment PIN_J12 -to VGA_R[5]
732
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5]
733
set_location_assignment PIN_H8 -to VGA_R[6]
734
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6]
735
set_location_assignment PIN_H10 -to VGA_R[7]
736
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7]
737
set_location_assignment PIN_C10 -to VGA_SYNC_N
738
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N
739
set_location_assignment PIN_C13 -to VGA_VS
740
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
741
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.