OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [coregen/] [fifo_generator/] [plb2wb_bridge.setup] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
Device_Family           = virtex5
2
Device                  = xc5vlx50
3
Package                 = ff676
4
Speedgrade              = -2
5
 
6
 
7
# Path to the vhdl and implementation directory
8
PLB2WB_Bridge_VHDL_DIR  = ../../systems/EDK_Libs/WishboneIPLib/pcores/plb2wb_bridge_v1_00_a/hdl/vhdl/
9
PLB2WB_Bridge_NGC_DIR   = ../../systems/EDK_Libs/WishboneIPLib/pcores/plb2wb_bridge_v1_00_a/hdl/ise/work/
10
 
11
Address_Buffer_Size     = 16  # valid values: 16, 32, ... 4194304
12
Read_Buffer_Size        = 16  # valid values: 16, 32, ... 4194304
13
Write_Buffer_Size       = 16  # valid values: 16, 32, ... 4194304
14
Stat2WB_Buffer_Size     = 16  # valid values: 16, 32, ... 4194304
15
Stat2PLB_Buffer_Size    = 16  # valid values: 16, 32, ... 4194304
16
WB_Clk_Frequency        = 66 # MHz
17
PLB_Clk_Frequency       = 100 # MHz
18
 
19
 
20
################################
21
# Do not change below unless
22
# you know what you are doing
23
 
24
Address_Buffer_minDWidth   = 42 # 32 bit address, 4 bit BE, 4 bit size, 1 RNW, minimum 1 bit master-id
25
Read_Buffer_DWidth         = 33 # 32 bit datum and 1 bit error
26
Write_Buffer_DWidth        = 32
27
Stat2PLB_Buffer_minDWidth  = 100   # 32 bit address, 32 bit datum, 3 bit status info, 1-4 bit master-id, 32 bit irq-info
28
Stat2WB_Buffer_DWidth      = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.