OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [systems/] [test_system_sim/] [wb_err_and_rst/] [system_incl.make] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
#################################################################
2
# Makefile generated by Xilinx Platform Studio
3
# Project:/home/christian/share/semesterproject/trunk/systems/test_system_sim/wb_err_and_rst/system.xmp
4
#
5
# WARNING : This file will be re-generated every time a command
6
# to run a make target is invoked. So, any changes made to this
7
# file manually, will be lost when make is invoked next.
8
#################################################################
9
 
10
XILINX_EDK_DIR = /opt/Xilinx/11.1/EDK
11
NON_CYG_XILINX_EDK_DIR = /opt/Xilinx/11.1/EDK
12
 
13
SYSTEM = system
14
 
15
MHSFILE = system.mhs
16
 
17
MSSFILE = system.mss
18
 
19
FPGA_ARCH = virtex5
20
 
21
DEVICE = xc5vlx50ff676-1
22
 
23
LANGUAGE = vhdl
24
 
25
SEARCHPATHOPT =  -lp /home/christian/share/semesterproject/trunk/systems/EDK_Libs/
26
GLOBAL_SEARCHPATHOPT =
27
 
28
SUBMODULE_OPT =
29
 
30
PLATGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(SUBMODULE_OPT) -msg __xps/ise/xmsgprops.lst
31
 
32
LIBGEN_OPTIONS = -mhs $(MHSFILE) -p $(DEVICE) $(SEARCHPATHOPT) -msg __xps/ise/xmsgprops.lst
33
 
34
OBSERVE_PAR_OPTIONS = -error yes
35
 
36
MICROBLAZE_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/microblaze/mb_bootloop.elf
37
PPC405_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/ppc405/ppc_bootloop.elf
38
PPC440_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/ppc440/ppc440_bootloop.elf
39
BOOTLOOP_DIR = bootloops
40
 
41
BRAMINIT_ELF_FILES =
42
BRAMINIT_ELF_FILE_ARGS =
43
 
44
ALL_USER_ELF_FILES =
45
 
46
SIM_CMD = vsim
47
 
48
BEHAVIORAL_SIM_SCRIPT = simulation/behavioral/$(SYSTEM)_setup.do
49
 
50
STRUCTURAL_SIM_SCRIPT = simulation/structural/$(SYSTEM)_setup.do
51
 
52
TIMING_SIM_SCRIPT = simulation/timing/$(SYSTEM)_setup.do
53
 
54
DEFAULT_SIM_SCRIPT = $(BEHAVIORAL_SIM_SCRIPT)
55
 
56
MIX_LANG_SIM_OPT = -mixed yes
57
 
58
SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -s mti
59
 
60
 
61
LIBRARIES =
62
 
63
LIBSCLEAN_TARGETS =
64
 
65
PROGRAMCLEAN_TARGETS =
66
 
67
CORE_STATE_DEVELOPMENT_FILES =
68
 
69
WRAPPER_NGC_FILES = implementation/mb_plb_wrapper.ngc \
70
implementation/plb2wb_bridge_0_wrapper.ngc \
71
implementation/wb_conbus_0_wrapper.ngc \
72
implementation/onchip_ram_0_wrapper.ngc \
73
implementation/onchip_ram_1_wrapper.ngc \
74
implementation/onchip_ram_2_wrapper.ngc \
75
implementation/onchip_ram_3_wrapper.ngc \
76
implementation/onchip_ram_4_wrapper.ngc \
77
implementation/onchip_ram_5_wrapper.ngc
78
 
79
POSTSYN_NETLIST = implementation/$(SYSTEM).ngc
80
 
81
SYSTEM_BIT = implementation/$(SYSTEM).bit
82
 
83
DOWNLOAD_BIT = implementation/download.bit
84
 
85
SYSTEM_ACE = implementation/$(SYSTEM).ace
86
 
87
UCF_FILE = data/system.ucf
88
 
89
BMM_FILE = implementation/$(SYSTEM).bmm
90
 
91
BITGEN_UT_FILE = etc/bitgen.ut
92
 
93
XFLOW_OPT_FILE = etc/fast_runtime.opt
94
XFLOW_DEPENDENCY = __xps/xpsxflow.opt $(XFLOW_OPT_FILE)
95
 
96
XPLORER_DEPENDENCY = __xps/xplorer.opt
97
XPLORER_OPTIONS = -p $(DEVICE) -uc $(SYSTEM).ucf -bm $(SYSTEM).bmm -max_runs 7
98
 
99
FPGA_IMP_DEPENDENCY = $(BMM_FILE) $(POSTSYN_NETLIST) $(UCF_FILE) $(XFLOW_DEPENDENCY)
100
 
101
SDK_EXPORT_DIR = SDK/SDK_Export/hw
102
SYSTEM_HW_HANDOFF = $(SDK_EXPORT_DIR)/$(SYSTEM).xml
103
SYSTEM_HW_HANDOFF_BIT = $(SDK_EXPORT_DIR)/$(SYSTEM).bit
104
SYSTEM_HW_HANDOFF_DEP = $(SYSTEM_HW_HANDOFF) $(SYSTEM_HW_HANDOFF_BIT)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.