OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [systems/] [test_system_sim/] [wb_retries/] [simulation/] [test_cases/] [simple_retries/] [transfers.bfl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
 
2
 
3
 set_alias(PART         = 1)
4
 set_alias(SUBPART      = 2)
5
 set_alias(SUBSUBPART   = 3)
6
 
7
 
8
 
9
 ---------------------------------
10
 --  32-Bit Master              --
11
 
12
 set_device(path=/system_tb/dut/plb_bfm_master_32/plb_bfm_master_32/master,device_type=plb_master)
13
 configure(msize=00)
14
 
15
 
16
 mem_update(addr=f0000000,data=11000001_11000002_11000003_11000004)
17
 mem_update(addr=f0000010,data=11111111_11111112_11111113_11111114)
18
 mem_update(addr=f0000020,data=11222221_11222222_11222223_11222224)
19
 mem_update(addr=f0000030,data=11333331_11333332_11333333_11333334)
20
 
21
 mem_update(addr=f1000000,data=22000001_22000002_22000003_22000004)
22
 mem_update(addr=f1000010,data=22111111_22111112_22111113_22111114)
23
 mem_update(addr=f1000020,data=22222221_22222222_22222223_22222224)
24
 mem_update(addr=f1000030,data=22333331_22333332_22333333_22333334)
25
 
26
 mem_update(addr=f2000000,data=33000001_33000002_33000003_33000004)
27
 mem_update(addr=f2000010,data=33111111_33111112_33111113_33111114)
28
 mem_update(addr=f2000020,data=33222221_33222222_33222223_33222224)
29
 mem_update(addr=f2000030,data=33333331_33333332_33333333_33333334)
30
 
31
 
32
 
33
 wait( level=SUBPART )
34
 
35
 write   ( addr=f0000000, size=0001, be=1111 )
36
 write   ( addr=f1000000, size=0001, be=1111 )
37
 write   ( addr=f2000000, size=0001, be=1111 )
38
 
39
 wait    ( level=SUBSUBPART )
40
 read    ( addr=f0000000, size=0001, be=1111 )
41
 
42
 wait    ( level=SUBSUBPART )
43
 read    ( addr=f1000000, size=0001, be=1111 )
44
 
45
 wait    ( level=SUBSUBPART )
46
 read    ( addr=f2000000, size=0001, be=1111 )
47
 
48
 
49
 
50
 
51
 
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.