OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [examples/] [vhdl/] [tb_example1/] [tb_example1.vhd] - Blame information for rev 96

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 78 pela
----------------------------------------------------------------------
2
----                                                              ----
3
---- PlTbUtils Testbench Example 1                                ----
4
----                                                              ----
5
---- This file is part of the PlTbUtils project                   ----
6
---- http://opencores.org/project,pltbutils                       ----
7
----                                                              ----
8
---- Description:                                                 ----
9
---- PlTbUtils is a collection of functions, procedures and       ----
10
---- components for easily creating stimuli and checking response ----
11
---- in automatic self-checking testbenches.                      ----
12
----                                                              ----
13
---- This file is an example which demonstrates how PlTbUtils     ----
14
---- can be used.                                                 ----
15
----                                                              ----
16
----                                                              ----
17
---- To Do:                                                       ----
18
---- -                                                            ----
19
----                                                              ----
20
---- Author(s):                                                   ----
21 96 pela
---- - Per Larsson, pela.opencores@gmail.com                      ----
22 78 pela
----                                                              ----
23
----------------------------------------------------------------------
24
----                                                              ----
25
---- Copyright (C) 2013-2014 Authors and OPENCORES.ORG            ----
26
----                                                              ----
27
---- This source file may be used and distributed without         ----
28
---- restriction provided that this copyright statement is not    ----
29
---- removed from the file and that any derivative work contains  ----
30
---- the original copyright notice and the associated disclaimer. ----
31
----                                                              ----
32
---- This source file is free software; you can redistribute it   ----
33
---- and/or modify it under the terms of the GNU Lesser General   ----
34
---- Public License as published by the Free Software Foundation; ----
35
---- either version 2.1 of the License, or (at your option) any   ----
36
---- later version.                                               ----
37
----                                                              ----
38
---- This source is distributed in the hope that it will be       ----
39
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
40
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
41
---- PURPOSE. See the GNU Lesser General Public License for more  ----
42
---- details.                                                     ----
43
----                                                              ----
44
---- You should have received a copy of the GNU Lesser General    ----
45
---- Public License along with this source; if not, download it   ----
46
---- from http://www.opencores.org/lgpl.shtml                     ----
47
----                                                              ----
48
----------------------------------------------------------------------
49
library ieee;
50
use ieee.std_logic_1164.all;
51
use ieee.numeric_std.all;
52
use work.txt_util.all;
53
use work.pltbutils_func_pkg.all;
54
use work.pltbutils_comp_pkg.all;
55
 
56
entity tb_example1 is
57
  generic (
58
    G_WIDTH             : integer := 8;
59
    G_CLK_PERIOD        : time := 10 ns;
60
    G_DISABLE_BUGS      : integer range 0 to 1 := 0
61
  );
62
end entity tb_example1;
63
 
64
architecture bhv of tb_example1 is
65
 
66
  -- Simulation status- and control signals
67
  -- for accessing .stop_sim and for viewing in waveform window
68
  signal pltbs          : pltbs_t := C_PLTBS_INIT;
69
 
70
  -- DUT stimuli and response signals
71
  signal clk            : std_logic;
72
  signal rst            : std_logic;
73
  signal carry_in       : std_logic;
74
  signal x              : std_logic_vector(G_WIDTH-1 downto 0);
75
  signal y              : std_logic_vector(G_WIDTH-1 downto 0);
76
  signal sum            : std_logic_vector(G_WIDTH-1 downto 0);
77
  signal carry_out      : std_logic;
78
 
79
begin
80
 
81
  dut0 : entity work.dut_example
82
    generic map (
83
      G_WIDTH           => G_WIDTH,
84
      G_DISABLE_BUGS    => G_DISABLE_BUGS
85
    )
86
    port map (
87
      clk_i             => clk,
88
      rst_i             => rst,
89
      carry_i           => carry_in,
90
      x_i               => x,
91
      y_i               => y,
92
      sum_o             => sum,
93
      carry_o           => carry_out
94
    );
95
 
96
  clkgen0 : pltbutils_clkgen
97
    generic map(
98
      G_PERIOD          => G_CLK_PERIOD
99
    )
100
    port map(
101
      clk_o             => clk,
102
      stop_sim_i        => pltbs.stop_sim
103
    );
104
 
105
  -- Testcase process
106
  -- NOTE: The purpose of the following code is to demonstrate some of the 
107
  -- features of PlTbUtils, not to do a thorough verification.
108
  p_tc1 : process
109
    variable pltbv  : pltbv_t := C_PLTBV_INIT;
110
  begin
111
    startsim("tc1", pltbv, pltbs);
112
    rst         <= '1';
113
    carry_in    <= '0';
114
    x           <= (others => '0');
115
    y           <= (others => '0');
116
 
117
    starttest(1, "Reset test", pltbv, pltbs);
118
    waitclks(2, clk, pltbv, pltbs);
119
    check("Sum during reset",       sum,         0, pltbv, pltbs);
120
    check("Carry out during reset", carry_out, '0', pltbv, pltbs);
121
    rst         <= '0';
122
    endtest(pltbv, pltbs);
123
 
124
    starttest(2, "Simple sum test", pltbv, pltbs);
125
    carry_in <= '0';
126
    x <= std_logic_vector(to_unsigned(1, x'length));
127
    y <= std_logic_vector(to_unsigned(2, x'length));
128
    waitclks(2, clk, pltbv, pltbs);
129
    check("Sum",       sum,         3, pltbv, pltbs);
130
    check("Carry out", carry_out, '0', pltbv, pltbs);
131
    endtest(pltbv, pltbs);
132
 
133
    starttest(3, "Simple carry in test", pltbv, pltbs);
134
    print(G_DISABLE_BUGS=0, pltbv, pltbs, "Bug here somewhere");
135
    carry_in <= '1';
136
    x <= std_logic_vector(to_unsigned(1, x'length));
137
    y <= std_logic_vector(to_unsigned(2, x'length));
138
    waitclks(2, clk, pltbv, pltbs);
139
    check("Sum",       sum,         4, pltbv, pltbs);
140
    check("Carry out", carry_out, '0', pltbv, pltbs);
141
    print(G_DISABLE_BUGS=0, pltbv, pltbs, "");
142
    endtest(pltbv, pltbs);
143
 
144
    starttest(4, "Simple carry out test", pltbv, pltbs);
145
    carry_in <= '0';
146
    x <= std_logic_vector(to_unsigned(2**G_WIDTH-1, x'length));
147
    y <= std_logic_vector(to_unsigned(1, x'length));
148
    waitclks(2, clk, pltbv, pltbs);
149
    check("Sum",       sum,         0, pltbv, pltbs);
150
    check("Carry out", carry_out, '1', pltbv, pltbs);
151
    endtest(pltbv, pltbs);
152
 
153
    endsim(pltbv, pltbs, true);
154
    wait;
155
  end process p_tc1;
156
 
157
end architecture bhv;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.