OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [templates/] [vhdl/] [template2/] [tc_template2.vhd] - Blame information for rev 96

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 pela
----------------------------------------------------------------------
2
----                                                              ----
3 76 pela
---- PlTbUtils Testcase Entity for Template Testbench             ----
4 2 pela
----                                                              ----
5
---- This file is part of the PlTbUtils project                   ----
6
---- http://opencores.org/project,pltbutils                       ----
7
----                                                              ----
8
---- Description:                                                 ----
9
---- PlTbUtils is a collection of functions, procedures and       ----
10
---- components for easily creating stimuli and checking response ----
11
---- in automatic self-checking testbenches.                      ----
12
----                                                              ----
13
---- This file is a template, which can be used as a base when    ----
14
---- testbenches which use PlTbUtils.                             ----
15
---- Copy this file to your preferred location and rename the     ----
16
---- copied file and its contents, by replacing the word          ---- 
17 76 pela
---- "templateXX" with a name for your design.                    ----
18 2 pela
---- Also remove informative comments enclosed in < ... > .       ----
19
----                                                              ----
20
----                                                              ----
21
---- To Do:                                                       ----
22
---- -                                                            ----
23
----                                                              ----
24
---- Author(s):                                                   ----
25 96 pela
---- - Per Larsson, pela.opencores@gmail.com                      ----
26 2 pela
----                                                              ----
27
----------------------------------------------------------------------
28
----                                                              ----
29 43 pela
---- Copyright (C) 2013-2014 Authors and OPENCORES.ORG            ----
30 2 pela
----                                                              ----
31
---- This source file may be used and distributed without         ----
32
---- restriction provided that this copyright statement is not    ----
33
---- removed from the file and that any derivative work contains  ----
34
---- the original copyright notice and the associated disclaimer. ----
35
----                                                              ----
36
---- This source file is free software; you can redistribute it   ----
37
---- and/or modify it under the terms of the GNU Lesser General   ----
38
---- Public License as published by the Free Software Foundation; ----
39
---- either version 2.1 of the License, or (at your option) any   ----
40
---- later version.                                               ----
41
----                                                              ----
42
---- This source is distributed in the hope that it will be       ----
43
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
44
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
45
---- PURPOSE. See the GNU Lesser General Public License for more  ----
46
---- details.                                                     ----
47
----                                                              ----
48
---- You should have received a copy of the GNU Lesser General    ----
49
---- Public License along with this source; if not, download it   ----
50
---- from http://www.opencores.org/lgpl.shtml                     ----
51
----                                                              ----
52
----------------------------------------------------------------------
53
library ieee;
54
use ieee.std_logic_1164.all;
55 43 pela
use work.pltbutils_func_pkg.all;
56 2 pela
 
57 76 pela
entity tc_template2 is
58 2 pela
  generic (
59
    -- < Template info: add generics here if needed, or remove the generic block >    
60
  );
61
  port (
62 43 pela
    pltbs           : out pltbs_t;
63 2 pela
    clk             : in  std_logic; -- Template example
64
    rst             : out std_logic; -- Template example
65
    -- < Template info: add more ports for testcase component here. >
66
    -- <                Inputs on the DUT should be outputs here,   >
67
    -- <                and vice versa.                             >
68
    -- <                Exception: clocks are inputs both on DUT    >
69
    -- <                and here.                                   >
70
  );
71 76 pela
end entity tc_template2;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.