OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [doc/] [release_note.txt] - Blame information for rev 103

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 103 pela
pltbutils release_note.txt
2
 
3
v1.0 January 26, 2016
4
1. Updated specification_pltbutils.docx / .pdf
5
 
6
beta004 January 3, 2016
7
1. Added skiptest argument to startsim().
8
2. Added function is_test_active().
9
3. Updated examples, testbenches and templates.
10
4. Updated specification_pltbutils.docx / .pdf
11
 
12
beta0003 November 23, 2015
13
1. Added check() for boolean.
14
2. Added check() for boolean against integer.
15
3. Added check() for time.
16
4. Added check() for time with tolerance. Suggested by Stefan Eriksson.
17
5. Updated tb_pltbutils.vhd with tests for the new check() procedures.
18
6. Updated specification_pltbutils.docx / .pdf
19
 
20
Thanks to Stefan Eriksson for suggesting features and providing feedback
21
on documentation.
22
 
23
beta0002 February 2, 2015
24
1. endsim(): renamed argument from force to force_stop for clarity.
25
2. print2(string, string): corrected call, from print() to print2().
26
3. print2(pltbv_t, pltbs_t, string): corrected call, from print() to print2().
27
4. pltbutils_func_pkg.vhd: more comments.
28
5. waitsig(): added overloaded unclocked variant.
29
6. hxstr(): no longer wrapper for hstr, improved with unlimited length of
30
   argument s.
31
7. Updated author's email address in all files where applicable.
32
8: Updated specification_pltbutils.docx/.pdf.
33
 
34
beta0001 April 9, 2014
35
1. Added check() for string.
36
 
37
alpha0007 January 13, 2014
38
1. Renamed example/vhdl/*.* to examples/vhdl/examples2/*.*
39
   This is example code where the testcase process(es) are located
40
   in a testcase component, enabling multiple testcase architectures.
41
   Renamed sim/example_sim/ to sim/modelsim_tb_example2/
42
2. Created examples/vhdl/examples1/
43
   This is example code where the testcase process is located in the
44
   testbench top.
45
   Created sim/modelsim_tb_example1/
46
3. Renamed sim/bench_sim/ to sim/modelsim_tb_pltbutils/
47
4. Renamed template/vhdl/*.* to templates/vhdl/template2/*.*
48
5. Created templates/vhdl/template1/
49
6. Updated specification_pltbutils.docx/pdf to rev 0.5
50
 
51
alpha0006 January 09, 2014
52
1. Replaced shared variables with a normal variable, and global signals with
53
   a normal signal.
54
   VHDL-2000 and later requires that shared variables use protected types,
55
   but protected types weren't available in earlier VHDL versions.
56
   As a consequence, some simulators in VHDL-200x mode require protected
57
   types. But some simulators still don't support protected types at all.
58
   To make pltbutils work in all (or at least in most) VHDL simulators,
59
   shared variables have now been removed.
60
   In previous versions of pltbutils, protected types were used by default.
61
   There were comments in the pltbutils code as an aid to modify the code
62
   for simulators that don't support protected types, but it was too much
63
   work to do the modifications. One possible solution could have been to
64
   make separate variants of pltbutils; one with, and one without protected
65
   types. But that solution was not tempting.
66
2. Removed src/vhdl/pltbutils_type_pkg.vhd .
67
3. Added doc/required_updates.txt .
68
 
69
alpha0005 January 05, 2014
70
1. In pltbutils_func_pkg.vhd, added starttest() and endtest().
71
2. testname() is now depricated, and will be removed. Use starttest() instead.
72
3. Added pltbutils_user_cfg_pkg.vhd and modified pltbutils_func_pkg.vhd to
73
   support user configurable report messages, to support continous
74
   integration environments, e.g. TeamCity.
75
4. Updated specification.
76
 
77
alpha0004 December 3, 2013
78
1. Corrected returned ranges from to_ascending() and to_descending()
79
   in pltbutils_func_pkg.vhd, to make them work with vectors where the lowest
80
   bit does not have number 0.
81
 
82
alpha0003 December 2, 2013
83
1. Added a line feed before printing the test name for clarity,
84
   in procedure testname() in pltbutils.vhd .
85
2. Added functions to_ascending(), to_descending() and hxstr()
86
   in pltbutils.vhd (not yet included in the specification).
87
3. check() in pltbutils.vhd now outputs hexadecimal values instead of
88
   binary values for std_logic_vector, unsigned and signed.
89
4. Updated tb_example.vhd, tc_example.vhd and tc1.vhd to feed
90
   the generic G_DISABLE_BUGS to tc1.
91
   The message "Bug here somewhere" is now only output when
92
   G_DISABLE_BUGS=0.
93
 
94
alpha0002 November 10, 2013
95
1. Added doc/release_note.txt
96
2. Removed file paths from pltbutils_files.lst
97
3. Added overloaded print procedures with boolean argument called active,
98
   which is useful for debug switches, etc.
99
4. Added inverted clock output and a generic for setting initial value to
100
   pltbutils_clkgen in  pltbutils_comp.vhd and pltbutils_comp_pkg.vhd .
101
   The inverted clock output can be used when a differential
102
   clock is needed.
103
5. Added overloaded procedures waitsig().
104
6. Updated specification.
105
 
106
alpha0001 September 2, 2013
107
1. First commit
108
 
109
April 14, 2013
110
1. PlTbUtils project registered on OpenCores.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.