OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [templates/] [vhdl/] [template2/] [tc_template2.vhd] - Blame information for rev 101

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 pela
----------------------------------------------------------------------
2
----                                                              ----
3 76 pela
---- PlTbUtils Testcase Entity for Template Testbench             ----
4 2 pela
----                                                              ----
5
---- This file is part of the PlTbUtils project                   ----
6
---- http://opencores.org/project,pltbutils                       ----
7
----                                                              ----
8
---- Description:                                                 ----
9
---- PlTbUtils is a collection of functions, procedures and       ----
10
---- components for easily creating stimuli and checking response ----
11
---- in automatic self-checking testbenches.                      ----
12
----                                                              ----
13
---- This file is a template, which can be used as a base when    ----
14
---- testbenches which use PlTbUtils.                             ----
15
---- Copy this file to your preferred location and rename the     ----
16
---- copied file and its contents, by replacing the word          ---- 
17 76 pela
---- "templateXX" with a name for your design.                    ----
18 2 pela
---- Also remove informative comments enclosed in < ... > .       ----
19
----                                                              ----
20
----                                                              ----
21
---- To Do:                                                       ----
22
---- -                                                            ----
23
----                                                              ----
24
---- Author(s):                                                   ----
25 97 pela
---- - Per Larsson, pela.opencores@gmail.com                      ----
26 2 pela
----                                                              ----
27
----------------------------------------------------------------------
28 101 pela
 
29 2 pela
library ieee;
30
use ieee.std_logic_1164.all;
31 43 pela
use work.pltbutils_func_pkg.all;
32 2 pela
 
33 76 pela
entity tc_template2 is
34 2 pela
  generic (
35 101 pela
    G_SKIPTESTS   : std_logic_vector := (
36
                      '0', -- Dummy
37
                      '0', -- Test 1
38
                      '0'  -- Test 2
39
                           -- ... etc
40
                    )
41
    -- < Template info: add more generics here if needed >    
42 2 pela
  );
43
  port (
44 43 pela
    pltbs           : out pltbs_t;
45 2 pela
    clk             : in  std_logic; -- Template example
46 101 pela
    rst             : out std_logic -- Template example
47 2 pela
    -- < Template info: add more ports for testcase component here. >
48
    -- <                Inputs on the DUT should be outputs here,   >
49
    -- <                and vice versa.                             >
50
    -- <                Exception: clocks are inputs both on DUT    >
51
    -- <                and here.                                   >
52
  );
53 76 pela
end entity tc_template2;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.