OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [PureTesting/] [sim/] [rtl_sim/] [bin/] [ShiftRegTB_stx_beh.prj] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gajos
vhdl isim_temp "../../../rtl/vhdl/ShiftReg.vhd"
2
vhdl isim_temp "../../../bench/vhdl/ShiftRegTB.vhd"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.