OpenCores
URL https://opencores.org/ocsvn/priority_encoder/priority_encoder/trunk

Subversion Repositories priority_encoder

[/] [priority_encoder/] [trunk/] [bitscan.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 UA3MQJ
module bitscan (in,out); //revered bit scan. rearch hi setted bit
2
parameter WIDTH = 16;
3
input wire [WIDTH-1:0] in;
4
output wire [WIDTH-1:0] out;
5
 
6
wire [WIDTH-1:0] in_rev;
7
wire [WIDTH-1:0] out_rev;
8
 
9
genvar gi;
10
generate
11
for(gi = 0; gi < WIDTH; gi = gi + 1 )
12
begin : bl_generation_h
13
        assign out[WIDTH-1-gi] = out_rev[gi];
14
        assign in_rev[WIDTH-1-gi] = in[gi];
15
end
16
endgenerate
17
 
18
assign out_rev = in_rev & ~(in_rev-1);
19
 
20
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.