OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input3DB.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arif_endro
-- ------------------------------------------------------------------------
2 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
3 18 arif_endro
-- All rights reserved.
4 9 arif_endro
-- 
5 18 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 9 arif_endro
-- 
9 18 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 9 arif_endro
-- 
15 18 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 9 arif_endro
-- 
27 18 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 9 arif_endro
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.std_logic_arith.all;
33
use ieee.std_logic_unsigned.all;
34
 
35
entity input is
36
   port (
37
      clock   : in  bit;
38
      clear   : in  bit;
39
      start   : out bit;
40
      rom_pos : out integer;
41
      rxin    : out bit_vector (07 downto 00)
42
      );
43
end input;
44
 
45
architecture test_bench of input is
46
 
47
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
48
 
49
constant input_bank : rom_bank :=
50
(
51
 
52
 B"01000001", B"00101000", B"11100100", B"00110100", B"00100111",
53
 B"11101010", B"11111011", B"00011101", B"00110001", B"00100010",
54
 B"00010011", B"11010001", B"00111001", B"11100111", B"11110100",
55
 B"11010010", B"11011101", B"11011100", B"00001110", B"11001110",
56
 B"00000000", B"11011101", B"10010001", B"00001110", B"11010001",
57
 B"00011110", B"11011001", B"11101101", B"11110001", B"01000001",
58
 B"00100111", B"11010010", B"11110011", B"00010100", B"00010110",
59
 B"00001100", B"11101001", B"00100011", B"11101101", B"00110010",
60
 B"00000010", B"00101100", B"11010001", B"11101000", B"11010010",
61
 B"00001011", B"00010110", B"00011011", B"10111100", B"11110110",
62
 B"00011101", B"11000100", B"00100100", B"11000010", B"11101000",
63
 B"00000010", B"11001111", B"00000100", B"00110100", B"00000010",
64
 B"00101011", B"00001100", B"11101011", B"11100000", B"00100111",
65
 B"00010111", B"11100101", B"00001100", B"00100111", B"11100001",
66
 B"11010100", B"00101001", B"00100010", B"00010000", B"11110000",
67
 B"11110011", B"01000110", B"11111011", B"11111001", B"11110001",
68
 B"11011111", B"00010101", B"00000111", B"11111001", B"00101000",
69
 B"00011000", B"11111011", B"00000100", B"10111101", B"01000101",
70
 B"11101011", B"00011000", B"11100111", B"11110011", B"00011001",
71
 B"00000101", B"11110010", B"00000010", B"00110110", B"11110101",
72
 B"11000111", B"11011011", B"11010100", B"10111011", B"11101010",
73
 B"11001100", B"11000011", B"11011101", B"00011011", B"00010011",
74
 B"00000111", B"00001010", B"00011110", B"11100100", B"11000001",
75
 B"00010010", B"11101010", B"11001110", B"11101110", B"00001011",
76
 B"11110110", B"00110111", B"11100111", B"00010000", B"00100111",
77
 B"11101011", B"11001100", B"00011010", B"11100110", B"11100001",
78
 B"00110000", B"00010101", B"00111010", B"00000001", B"11011100",
79
 B"11010011", B"11110001", B"00101001", B"00010010", B"00001110",
80
 B"00000100", B"00010101", B"00000010", B"11111110", B"00010001",
81
 B"00000111", B"00100101", B"11110101", B"00001100", B"11010110",
82
 B"00110010", B"11000101", B"11011111", B"00010111", B"11000010",
83
 B"01011101", B"11011010", B"11010101", B"00100001", B"00100101",
84
 B"00010011", B"11011101", B"11101010", B"00010110", B"11010010",
85
 B"11000010", B"11111111", B"11111100", B"11010010", B"00000101",
86
 B"11011010", B"00001111", B"11110110", B"00000001", B"00000001",
87
 B"11011101", B"01010000", B"11010000", B"00111100", B"11001110",
88
 B"11110101", B"11000100", B"00011101", B"00001011", B"00101100",
89
 B"00010000", B"00110101", B"11010101", B"00010100", B"11100100",
90
 B"00111010", B"11111001", B"11110110", B"11101001", B"01000011",
91
 B"01000001", B"00101000", B"00001111", B"11100011", B"11100011",
92
 B"11000001", B"00100101", B"00101011", B"11010101", B"11010111",
93
 B"11011010", B"11110111", B"11101100", B"11010000", B"00000001",
94
 B"11111110", B"00000101", B"00010010", B"01011100", B"11010110",
95
 B"00000110", B"11010101", B"00011101", B"00010000", B"00100010",
96
 B"11010010", B"00010010", B"10111001", B"00000101", B"00111001",
97
 B"11010010", B"11010010", B"00010110", B"11100110", B"11011010",
98
 B"11100100", B"11010110", B"11110011", B"11110010", B"00010100",
99
 B"11011100", B"00111001", B"11011100", B"11011010", B"00010100",
100
 B"00001101", B"00100100", B"00101000", B"01000001", B"00011100",
101
 B"00011001", B"00100010", B"00001010", B"00001111", B"11010110",
102
 B"00011011", B"00111101", B"11010000", B"00111010", B"00111000",
103
 B"11011100", B"00101010", B"11110101", B"00000000", B"00101000",
104
 B"00010111", B"00100010", B"00100011", B"11110101", B"00010100",
105
 B"10111100", B"11010010", B"00001011", B"11101100", B"11010010",
106
 B"11110100", B"11010110", B"11000111", B"11101000", B"00001111",
107
 B"00000011", B"00001101", B"00000000", B"11100011", B"00000110",
108
 B"00000100", B"00010110", B"00001010", B"11100110", B"11010000",
109
 B"00110000", B"01001001", B"11110010", B"00010110", B"00010100",
110
 B"00100000", B"00001010", B"00001011", B"00011011", B"00000100",
111
 B"00000011", B"10111010", B"00011001", B"00110110", B"11011101",
112
 B"11001001", B"11110111", B"11100111", B"11010100", B"11001010",
113
 B"00001101", B"00110001", B"11100110", B"11100001", B"11001111",
114
 B"11100000", B"00000011", B"11011001", B"00110001", B"00010110",
115
 B"00111010", B"11101000", B"00001011", B"11001110", B"00011001",
116
 B"00101100", B"11101101", B"11001011", B"00101010", B"11011001",
117
 B"00001001", B"11100110", B"11111000", B"00000000", B"11011100",
118
 B"00100101", B"00010001", B"00101010", B"00000001", B"11011001",
119
 B"11010100", B"00011110", B"11010001", B"11101101", B"00100110",
120
 B"11111111", B"11110110", B"11000011", B"11001110", B"11110111",
121
 B"11001100", B"00011111", B"00000101", B"00011100", B"11100111",
122
 B"11110111", B"00101000", B"00000001", B"11101101", B"11111110",
123
 B"11010011", B"00000000", B"11111001", B"11001011", B"00010011",
124
 B"11010001", B"00000100", B"11101010", B"11000110", B"11010000",
125
 B"00101011", B"00010110", B"11110110", B"00001001", B"11011011",
126
 B"00100011", B"11011101", B"11011001", B"11101111", B"00110111",
127
 B"00011100", B"11100001", B"11011101", B"00100101", B"11001001",
128
 B"00100100", B"11011000", B"11110011", B"00110000", B"11100100",
129
 B"11011110", B"11010000", B"11011000", B"00010001", B"00101111",
130
 B"00101110", B"00011100", B"00010100", B"11111011", B"11101100",
131
 B"01001100", B"11111001", B"11100011", B"11100000", B"00000001",
132
 B"11100111", B"00001111", B"00011001", B"00101000", B"11001100",
133
 B"00111001", B"11101110", B"00011100", B"00111000", B"00111000",
134
 B"11010000", B"00101101", B"00101010", B"11110110", B"11000000",
135
 B"11100010", B"00010001", B"11010100", B"00001000", B"11111110",
136
 B"00101111", B"00010000", B"00101001", B"00110111", B"00111110",
137
 B"11100011", B"11000000", B"00110010", B"11110110", B"11101010",
138
 B"10111000", B"11000101", B"00011010", B"00010001", B"00100000",
139
 B"00001010", B"00110000", B"00100000", B"01000100", B"00101000",
140
 B"11110101", B"11110100", B"11011001", B"00011011", B"00101010",
141
 B"00000001", B"00100011", B"11001010", B"00001101", B"00110111",
142
 B"00111100", B"00000001", B"00010101", B"11110101", B"00100000",
143
 B"00000100", B"00110101", B"11001011", B"01000011", B"11000011",
144
 B"11001100", B"11011010", B"00010101", B"00101110", B"00111111",
145
 B"11001111", B"11001101", B"11010110", B"11001000", B"00100101",
146
 B"00000001", B"00111011", B"11010111", B"10101110", B"11011111",
147
 B"11011101", B"00011101", B"00011111", B"00011000", B"00110001",
148
 B"00100011", B"00010000", B"00010001", B"00010100", B"11110001",
149
 B"00101010", B"00011110", B"11001101", B"10111101", B"00001010",
150
 B"11010110", B"00101101", B"11010000", B"10110110", B"00011101",
151
 B"00111010", B"11110001", B"01000101", B"11110000", B"11001111",
152
 B"10101110", B"00000001", B"00001100", B"11011010", B"00110101",
153
 B"11110010", B"11001001", B"11101111", B"11010111", B"01001011",
154
 B"11100010", B"00110110", B"00010100", B"00110001", B"11010101",
155
 B"11100000", B"00100101", B"00110100", B"10111100", B"11101001",
156
 B"11100110", B"11111101", B"00100011", B"11011111", B"00110010",
157
 B"11011101", B"10111110", B"00100010", B"11010001", B"00110101",
158
 B"01000101", B"00111000", B"11010001", B"00100001", B"11001101",
159
 B"00001111", B"11110001", B"00010001", B"00100110", B"00101010",
160
 B"11101000", B"00100110", B"11111011", B"00011110", B"01000011",
161
 B"00000101", B"00001110", B"10110001", B"00010111", B"11111011",
162
 B"00001000", B"11001100", B"11101100", B"01001110", B"01001100",
163
 B"11101110", B"11101001", B"00111100", B"11001001", B"00011000",
164
 B"11101000", B"10111111", B"11000011", B"00101011", B"01010000",
165
 B"10111110", B"00101101", B"11001101", B"00011011", B"11010100",
166
 B"11100001", B"01000010", B"10111110", B"11100111", B"10111010",
167
 B"11001110", B"11001100", B"11001100", B"11001100", B"11100001",
168
 B"01010010", B"11111111", B"00110010", B"00001101", B"11111011",
169
 B"11001111", B"00101101", B"11011001", B"00010100", B"00000011",
170
 B"11001100", B"00011100", B"00111110", B"00001000", B"00100111",
171
 B"00011011", B"00100101", B"11110010", B"00010111", B"00100001",
172
 B"01000001", B"00111100", B"00011001", B"00000000", B"00100101",
173
 B"00110011", B"00101111", B"00100111", B"11101000", B"11010010",
174
 B"00010010", B"11001010", B"00110001", B"00100010", B"00110010",
175
 B"00110111", B"11101000", B"00010011", B"11100000", B"11011000",
176
 B"11001100", B"11101100", B"00101111", B"00101011", B"11010101",
177
 B"00001110", B"00011000", B"10111101", B"11001111", B"11011100",
178
 B"11101111", B"10110100", B"01010111", B"00101000", B"00011100",
179
 B"11101000", B"00101001", B"00101010", B"11011110", B"00100100",
180
 B"11111100", B"11011011", B"11101010", B"00100111", B"00100010",
181
 B"00010111", B"11111001", B"11101101", B"00110101", B"00011100",
182
 B"11110001", B"00000110", B"00100110", B"11010100", B"11101000",
183
 B"01000001", B"00000110", B"00000011", B"10111100", B"00000000",
184
 B"00010010", B"10111110", B"11011001", B"00110010", B"00100111",
185
 B"00010011", B"11010000", B"11110110", B"00100000", B"00101100",
186
 B"11010111", B"11011110", B"00010100", B"00001100", B"00100100",
187
 B"00010001", B"10111110", B"00100010", B"11111011", B"00010110",
188
 B"11011011", B"11100000", B"00101111", B"11100010", B"00100001",
189
 B"10111100", B"11101110", B"00111011", B"11100010", B"10111010",
190
 B"00010010", B"00000111", B"00010010", B"11101001", B"11101011",
191
 B"00110101", B"00100101", B"00101111", B"00001110", B"00100011",
192
 B"00101111", B"00111100", B"00011010", B"00111111", B"00011001",
193
 B"00000111", B"00011000", B"00000011", B"00100010", B"00001111",
194
 B"00110001", B"00011001", B"11001110", B"00101101", B"00010001",
195
 B"11101010", B"11101101", B"11101010", B"11111101", B"11110101",
196
 B"11000000", B"00101000", B"11001111", B"00000001", B"11101100",
197
 B"00100010", B"00100001", B"00101111", B"11111101", B"00100101",
198
 B"11100110", B"00110010", B"11111010", B"11011011", B"00100011",
199
 B"00101011", B"00101010", B"00100101", B"00111001", B"00100100",
200
 B"00010100", B"00110111", B"00010110", B"00101000", B"00101011",
201
 B"11110011", B"11001000", B"11100010", B"11010110", B"00101001",
202
 B"11001001", B"00101111", B"00010110", B"00111000", B"00010101",
203
 B"11000110", B"00100101", B"11011110", B"00010100", B"11011110",
204
 B"11010100", B"11011110", B"11011000", B"11101111", B"00110111",
205
 B"00110100", B"00110010", B"00001001", B"11100110", B"11111111",
206
 B"10111101", B"00111000", B"10111001", B"11001101", B"01010110",
207
 B"00110011", B"00111110", B"10100001", B"11100110", B"11111101",
208
 B"11110110", B"11100001", B"11100111", B"11011011", B"00011100",
209
 B"11101011", B"11110100", B"01000100", B"00001100", B"11101101",
210
 B"00101000", B"00001100", B"00100011", B"00000000", B"00010101",
211
 B"00101100", B"00010100", B"00010111", B"11110000", B"00000111",
212
 B"11110011", B"00010010", B"11011100", B"11110110", B"10111111",
213
 B"00100101", B"00010101", B"11000001", B"11110000", B"11110110",
214
 B"00011011", B"01001010", B"00110111", B"11111111", B"11001101",
215
 B"11000100", B"00010111", B"00101111", B"00001110", B"00011111",
216
 B"01000011", B"00011001", B"00011010", B"00110000", B"00001010",
217
 B"00010000", B"11001100", B"11001110", B"00111100", B"00000110",
218
 B"11100010", B"11110000", B"11111001", B"11100110", B"10100100",
219
 B"11100101", B"00011000", B"00001110", B"00011000", B"00100110",
220
 B"11111000", B"01001000", B"00001011", B"11100110", B"11111111",
221
 B"11100010", B"00011101", B"11011111", B"00110001", B"00101100",
222
 B"00001000", B"00101011", B"00000000", B"00010111", B"00001001",
223
 B"00010100", B"00011110", B"00011001", B"00110010", B"00010010",
224
 B"00000001", B"00000100", B"00101110", B"00100100", B"11100100",
225
 B"00001000", B"00001011", B"10110000", B"11111001", B"00110101",
226
 B"00101100", B"00001011", B"00100001", B"11111001", B"00100001",
227
 B"00100101", B"11110111", B"00010111", B"00101000", B"11011101",
228
 B"11011001", B"11110011", B"00100000", B"10111110", B"00010011",
229
 B"11110100", B"11010110", B"11100110", B"00111010", B"00001010",
230
 B"11001111", B"10100000", B"00100011", B"11110000", B"11101111",
231
 B"11001011", B"11101110", B"11111110", B"00101100", B"11001100",
232
 B"00111111", B"11010001", B"11111001", B"11000110", B"11010010",
233
 B"00110011", B"01001111", B"00110011", B"11101111", B"00101010",
234
 B"11000000", B"00100010", B"00011100", B"11001110", B"00111001",
235
 B"11100101", B"11101100", B"11110101", B"00010001", B"00101001",
236
 B"00011001", B"00100000", B"00100100", B"00110101", B"00100001",
237
 B"00011101", B"01000001", B"00001001", B"01000110", B"11111110",
238
 B"00010111", B"00101010", B"11010000", B"00011101", B"11111010",
239
 B"10111101", B"11011100", B"11000101", B"11001111", B"11011111",
240
 B"00011011", B"00011010", B"00101110", B"00101111", B"00101010",
241
 B"11110011", B"00010001", B"00100111", B"11100110", B"00011111",
242
 B"00110100", B"11101001", B"00100110", B"00110101", B"11110010",
243
 B"00111101", B"00100111", B"11101001", B"11010101", B"00011000",
244
 B"00110001", B"11111001", B"00110000", B"11001010", B"11110110",
245
 B"11011010", B"00100100", B"00000011", B"00100010", B"00010100",
246
 B"01010000", B"00100011", B"00100000", B"00010110", B"11100001",
247
 B"00100100", B"00101110", B"00011000", B"00101101", B"00000001",
248
 B"00011111", B"11101011", B"00010110", B"11111110", B"01011000",
249
 B"11100010", B"00100010", B"00111010", B"11010101", B"00000011",
250
 B"00010010", B"11100100", B"11010110", B"00010010", B"11111010",
251
 B"00101100", B"11101001", B"00100001", B"11111010", B"00011101",
252
 B"01011101", B"11111011", B"11110010", B"11111110", B"00011111",
253
 B"00100011", B"00010100", B"00100000", B"00000011", B"11100000",
254
 B"00110101", B"11011110", B"00001100", B"11100101", B"11001010",
255
 B"00010011", B"11101011", B"11101000", B"00111011", B"00101010",
256
 B"00101111", B"00100111", B"11001100", B"11100111", B"10101001",
257
 B"11011011", B"00010001", B"00110101", B"00101101", B"11111001",
258
 B"00001110", B"11101110", B"11110100", B"11111100", B"00110111",
259
 B"00011011", B"11110010", B"00100111", B"11100010", B"00000000",
260
 B"11011100", B"00100000", B"00110000", B"11100011", B"11011100",
261
 B"11100010", B"00000110", B"11010011", B"00011010", B"00001110",
262
 B"11100001", B"00100001", B"00000001", B"11001001", B"00001100",
263
 B"00101001", B"00000101", B"11111000", B"11110001", B"11110110",
264
 B"11100111", B"11010110", B"00100010", B"00100011", B"00110000",
265
 B"00011000", B"00010110", B"11011000", B"00000101", B"11101101",
266
 B"00011011", B"11101101", B"00100101", B"11111011", B"00000101",
267
 B"11011001", B"11101010", B"11001110", B"00010000", B"00011011",
268
 B"11000010", B"00000011", B"00010100", B"11000100", B"11110100",
269
 B"11010011", B"11110011", B"10110000", B"00100000", B"00110101",
270
 B"11010101", B"11100010", B"00100101", B"11111011", B"11101100",
271
 B"11001010", B"11010101", B"00011011", B"11011000", B"00111011",
272
 B"11100010", B"11111101", B"00010100", B"00001000", B"00011100",
273
 B"11001100", B"11101100", B"11000111", B"11001000", B"00110000",
274
 B"11011111", B"00000000", B"11111001", B"11101000", B"00010111",
275
 B"11110101", B"00111111", B"10100010", B"10101111", B"00100011",
276
 B"00110011", B"00010010", B"10100001", B"00001100", B"00101011",
277
 B"11000010", B"11110111", B"00010011", B"11000011", B"11110111",
278
 B"11011111", B"11010001", B"11110000", B"01010011", B"00010001",
279
 B"00100101", B"11001101", B"00011111", B"11110010", B"00001001",
280
 B"11000010", B"11100100", B"00010101", B"00001011", B"00001100",
281
 B"00011001", B"11110111", B"11101011", B"01010101", B"00001110",
282
 B"10111001", B"11100010", B"00100000", B"00011001", B"11100010",
283
 B"11100001", B"00111100", B"00010010", B"11011110", B"11000100",
284
 B"00100001", B"00100010", B"11001111", B"11111100", B"00100011",
285
 B"11111001", B"11101010", B"00000011", B"00100110", B"11000100",
286
 B"11101010", B"00000111", B"11000100", B"00101011", B"00000000",
287
 B"00001011", B"00011010", B"11111001", B"11110101", B"00110111",
288
 B"00000010", B"11100011", B"11100100", B"00110001", B"00011000",
289
 B"00011010", B"11111010", B"00001100", B"00010011", B"00100010",
290
 B"00110111", B"00001111", B"00101101", B"00110000", B"00000010",
291
 B"11011111", B"00100000", B"11111010", B"00100011", B"11000000",
292
 B"11110100", B"11110110", B"11101001", B"00000110", B"11100100",
293
 B"11010110", B"11011111", B"11011000", B"11100110", B"11010110",
294
 B"00110100", B"00011011", B"00101110", B"11111101", B"11110001",
295
 B"00011011", B"11111011", B"00001001", B"00001110", B"11001110",
296
 B"00100110", B"11101100", B"00101000", B"11011010", B"00011100",
297
 B"00010110", B"11101110", B"00100110", B"11100000", B"11110110",
298
 B"11001011", B"10111001", B"11100100", B"11111000", B"11111110",
299
 B"00011100", B"00101011", B"11100101", B"00000100", B"10111100",
300
 B"00011000", B"11011101", B"11100111", B"11101110", B"11001100",
301
 B"00000011", B"11110010", B"10111001", B"11100010", B"11000010",
302
 B"00110000", B"11100101", B"00101110", B"11110000", B"11010101",
303
 B"00001000", B"00101110", B"00011011", B"11111100", B"11011001",
304
 B"00011100", B"11110010", B"11110100", B"11001000", B"11101101",
305
 B"11010110", B"00101000", B"00001101", B"00011000", B"11010100",
306
 B"11000001", B"00101110", B"00101101", B"00100010", B"00110100",
307
 B"11011000", B"00000000", B"11001111", B"00011100", B"11110110",
308
 B"00111001", B"01001111", B"00011111", B"11110000", B"01011101",
309
 B"00111111", B"10011101", B"11110001", B"11011111", B"00101011",
310
 B"00110111", B"00001010", B"11000011", B"01001011", B"11010011",
311
 B"11111010", B"11011010", B"00010110", B"00000111", B"11011111",
312
 B"11011111", B"11001100", B"10110110", B"11000000", B"11100110",
313
 B"00111000", B"11000100", B"00001011", B"11110001", B"11011110",
314
 B"00110100", B"00001001", B"00100011", B"11011010", B"11100011",
315
 B"01010001", B"11101111", B"11010101", B"11010011", B"11000101",
316
 B"11110100", B"11010010", B"00000011", B"11101111", B"01001100",
317
 B"11101011", B"11000110", B"11110101", B"00111000", B"11010111",
318
 B"11010010", B"11111100", B"11001110", B"11011001", B"11111110",
319
 B"11110111", B"11011010", B"00001001", B"10111011", B"00111001",
320
 B"11011010", B"11000010", B"11100111", B"00011001", B"00111011",
321
 B"00100111", B"00001101", B"11011111", B"01010010", B"00101001",
322
 B"11010101", B"11011110", B"00010000", B"00011111", B"00001001",
323
 B"00011101", B"00001111", B"11110001", B"11011101", B"00010110",
324
 B"00001001", B"11110000", B"00000010", B"00100100", B"00101110",
325
 B"11011011", B"00010010", B"11101101", B"11010011", B"11111110",
326
 B"00110111", B"11000001", B"00001001", B"11111001", B"11111100",
327
 B"00101100", B"11110011", B"00011100", B"11110000", B"11010111",
328
 B"11011110", B"00010010", B"00100001", B"11011101", B"01001010",
329
 B"00111010", B"11100010", B"00100010", B"01010011", B"11100011",
330
 B"11101011", B"00110111", B"11101000", B"11110001", B"11100011",
331
 B"00111001", B"00100010", B"11100010", B"00110111", B"11100010",
332
 B"11101011", B"11011111", B"00111011", B"00100111", B"11001101",
333
 B"00001110", B"11011010", B"00100001", B"00011010", B"00100101",
334
 B"11011110", B"00001100", B"01011110", B"11100101", B"11100010",
335
 B"00101110", B"11011101", B"11100010", B"00000010", B"11110001",
336
 B"01000011", B"00110101", B"00010101", B"11110010", B"00111110",
337
 B"00010010", B"11011010", B"00101100", B"00011101", B"11011000",
338
 B"11010100", B"00011111", B"00100010", B"11001010", B"10111100",
339
 B"00111010", B"10110111", B"11111101", B"11011001", B"11100101",
340
 B"11001110", B"11011110", B"00010010", B"00110010", B"11101101",
341
 B"11100100", B"00110111", B"11101000", B"11101001", B"11100001",
342
 B"00001011", B"00010010", B"01010110", B"00010001", B"11101000",
343
 B"10100011", B"00110011", B"00010011", B"00101000", B"11111111",
344
 B"00000001", B"00000111", B"11101111", B"00000011", B"00110011",
345
 B"00000010", B"11101001", B"00110101", B"00010010", B"00101011",
346
 B"00010010", B"11110010", B"11101100", B"00010011", B"00011111",
347
 B"00111111", B"00010010", B"00011010", B"00101001", B"00110101",
348
 B"00111100", B"11110010", B"11001110", B"00000100", B"10100101",
349
 B"11000011", B"11110011", B"11101110", B"11111111", B"11110110",
350
 B"11000100", B"11111010", B"00010000", B"11110000", B"00010110",
351
 B"11101101", B"00100010", B"11011001", B"00110101", B"11010010",
352
 B"11100100", B"11100011", B"00000101", B"01000011", B"11111000",
353
 B"00010100", B"11011011", B"00001100", B"11100110", B"11111110",
354
 B"00010101", B"00101101", B"11111101", B"00010110", B"00010101",
355
 B"00110001", B"11010011", B"00100111", B"10110101", B"00011001",
356
 B"00010010", B"11011010", B"00111011", B"00001100", B"11101101",
357
 B"00111111", B"00001101", B"11110001", B"01001011", B"00001110",
358
 B"00001001", B"11111111", B"00001101", B"11101010", B"00111001",
359
 B"10111010", B"00100110", B"00011001", B"00101011", B"11011000",
360
 B"11100010", B"11100000", B"00101000", B"00010111", B"00000100",
361
 B"11001101", B"11001111", B"00010000", B"11101001", B"00001010",
362
 B"11110001", B"11011111", B"11011010", B"11010010", B"00110011",
363
 B"00000000", B"00001000", B"11000110", B"10110011", B"00101001",
364
 B"11111100", B"00101010", B"11100110", B"11010110", B"11110101",
365
 B"00011111", B"00100110", B"11101000", B"11011010", B"00100011",
366
 B"00111000", B"00100100", B"11011100", B"01000000", B"11000101",
367
 B"00110001", B"11101011", B"11101001", B"11011001", B"11111011",
368
 B"00100000", B"00010000", B"00101001", B"00110000", B"11011111",
369
 B"11101011", B"11001011", B"00000111", B"00101010", B"00101011",
370
 B"00101101", B"01010100", B"11111010", B"11001011", B"00011101",
371
 B"11001000", B"00001010", B"11010000", B"11101010", B"00000011",
372
 B"00101011", B"00000111", B"00011000", B"11110001", B"00100111",
373
 B"11011011", B"00100001", B"11100101", B"11011100", B"00101110",
374
 B"11000110", B"11101101", B"11011001", B"00000110", B"11111101",
375
 B"11100011", B"11011100", B"01000011", B"00010101", B"00010010",
376
 B"11010100", B"00110000", B"10111000", B"01000000", B"01000101",
377
 B"00100100", B"11001101", B"11000100", B"01101010", B"00101010",
378
 B"11010000", B"11111110", B"00110010", B"11110110", B"11101001",
379
 B"01001100", B"00011110", B"00000110", B"11011010", B"00101001",
380
 B"00110111", B"11110010", B"00010100", B"00001110", B"11010100",
381
 B"00101010", B"00001111", B"11101000", B"00010101", B"00101111",
382
 B"11000001", B"00000011", B"00100110", B"10110010", B"11000101",
383
 B"00100011", B"00101101", B"00110100", B"00010011", B"01001100",
384
 B"00101000", B"11110001", B"11010000", B"00011101", B"00101100",
385
 B"01100000", B"11110111", B"01000011", B"00100001", B"11110111",
386
 B"11001001", B"00011000", B"00011111", B"11111011", B"01000100",
387
 B"11001001", B"11000101", B"11010101", B"00011010", B"00111010",
388
 B"00011010", B"11010110", B"11011011", B"10110000", B"11111111",
389
 B"00001110", B"11111010", B"00111010", B"11100000", B"11101010",
390
 B"00000001", B"11110001", B"00000100", B"11011000", B"11101011",
391
 B"00010100", B"11011111", B"11101100", B"11111111", B"00111011",
392
 B"00000100", B"00010010", B"11101101", B"11111011", B"10111011",
393
 B"10111011", B"00101111", B"11000011", B"00110000", B"11000100",
394
 B"11000101", B"00001100", B"11011110", B"00010000", B"00011111",
395
 B"11000101", B"11110101", B"11110000", B"11010001", B"11000000",
396
 B"11011011", B"00001001", B"00110010", B"11100000", B"00000110",
397
 B"11000001", B"11111100", B"11110011", B"00011000", B"11000011",
398
 B"00101010", B"11010101", B"11110010", B"11101000", B"00100001",
399
 B"00001110", B"00011000", B"00001111", B"11111010", B"11001011",
400
 B"00001010", B"00010111", B"11111011", B"11110010", B"00101101",
401
 B"11110110", B"11111011", B"00001000", B"11100111", B"00101100",
402
 B"00100011", B"11011011", B"00011011", B"00011101", B"00001110",
403
 B"00010001", B"00011111", B"00110010", B"00010101", B"00100100",
404
 B"10111100", B"11010000", B"00011101", B"00010100", B"00100001",
405
 B"00010001", B"11010101", B"11011010", B"11011111", B"11111010",
406
 B"00101101", B"00100010", B"00110011", B"00100110", B"11010010",
407
 B"11110000", B"11000001", B"11101110", B"00010011", B"00010110",
408
 B"11011110", B"00100111", B"11011110", B"00011111", B"11011100",
409
 B"00101100", B"00100001", B"11101110", B"11100101", B"11100010",
410
 B"11110101", B"11011110", B"00011101", B"00000010", B"00001000",
411
 B"11111111", B"00101101", B"00001110", B"11111111", B"00111001",
412
 B"11101001", B"11011111", B"00000000", B"00000001", B"00110101",
413
 B"11011000", B"11110110", B"11101101", B"00000000", B"11100111",
414
 B"11010000", B"11111110", B"11110010", B"11010011", B"11100010",
415
 B"11100110", B"11011101", B"11011100", B"00010010", B"11000001",
416
 B"00010110", B"11111110", B"11011101", B"01000111", B"00110001",
417
 B"00100010", B"11101101", B"00011001", B"00011111", B"11011011",
418
 B"11010101", B"00101010", B"00011010", B"01001010", B"01001111",
419
 B"00011011", B"00011100", B"00001101", B"01001011", B"00101000",
420
 B"11111001", B"11110011", B"11101011", B"10100110", B"00110010",
421
 B"00111001", B"00111001", B"00110011", B"11000000", B"11111011",
422
 B"11100110", B"00111101", B"11011000", B"10101111", B"00011001",
423
 B"00100011", B"11001110", B"00111001", B"11101110", B"00101100",
424
 B"11011101", B"11110001", B"11110000", B"01000100", B"01000110",
425
 B"11101100", B"11101010", B"00101000", B"11000001", B"01001110",
426
 B"00101110", B"11100001", B"11100011", B"11000010", B"00100000",
427
 B"11011000", B"00010000", B"11100011", B"11100100", B"00110100",
428
 B"00001000", B"00011110", B"11110011", B"00110010", B"00100011",
429
 B"11111010", B"11000110", B"00101001", B"11110110", B"11110011",
430
 B"01000000", B"11010011", B"11111001", B"11011110", B"11101001",
431
 B"11010100", B"10111001", B"00111111", B"11111111", B"11011001",
432
 B"11111111", B"11101111", B"11000110", B"11110110", B"11100110",
433
 B"00000101", B"11011010", B"11100110", B"11011111", B"00110000",
434
 B"00101011", B"11100001", B"11010110", B"00010110", B"00111010",
435
 B"00001100", B"11110011", B"00001011", B"10111010", B"11101110",
436
 B"00000100", B"00001000", B"00110000", B"00010011", B"00011001",
437
 B"11100111", B"00111001", B"00011000", B"11100011", B"00101010",
438
 B"00011101", B"00000001", B"11010100", B"11001000", B"11111101",
439
 B"11010001", B"10111100", B"11100110", B"11111000", B"00110001",
440
 B"00000001", B"00001010", B"00100110", B"11110001", B"00001101",
441
 B"00111100", B"00111000", B"00101110", B"00100010", B"11111111",
442
 B"00100010", B"00000111", B"00101010", B"00000101", B"11001110",
443
 B"00100011", B"11000111", B"11010101", B"11011110", B"11110100",
444
 B"00000011", B"00100001", B"11111111", B"00011101", B"11100101",
445
 B"00100100", B"00100011", B"01000001", B"00101110", B"11010111",
446
 B"00001000", B"10110111", B"11111110", B"11000101", B"00101100",
447
 B"11111100", B"11100101", B"00100000", B"11010101", B"00001110",
448
 B"01010110", B"11000010", B"11010110", B"00000111", B"11011001",
449
 B"11100011", B"00101101", B"00100100", B"00100010", B"00101011",
450
 B"11011000", B"11110010", B"01010110", B"11011010", B"00101000",
451
 B"00010010", B"10111110", B"00100011", B"00110100", B"11001001",
452
 B"11101000", B"00101111", B"00000100", B"00111110", B"11110010",
453
 B"00000010", B"11010010", B"00010111", B"00011111", B"11010101",
454
 B"10111111", B"00010010", B"11100110", B"11011110", B"00000110",
455
 B"11100111", B"00010101", B"11011011", B"00010110", B"00011110",
456
 B"11110001", B"01000010", B"00011010", B"11011001", B"11001110",
457
 B"11100001", B"11111101", B"11111001", B"00100111", B"00011000",
458
 B"00010101", B"00100110", B"11100111", B"00100011", B"10111111",
459
 B"11010111", B"00111000", B"00101010", B"11001100", B"11100011",
460
 B"00101010", B"11110101", B"00001100", B"11110001", B"11100010",
461
 B"11000001", B"11110100", B"00011100", B"00011000", B"00100010",
462
 B"00111100", B"00010010", B"00010101", B"00100000", B"00111111",
463
 B"00101101", B"11010010", B"11011010", B"00011011", B"01010010",
464
 B"00110001", B"00011101", B"11010001", B"11011010", B"00111010",
465
 B"11000001", B"11101111", B"00100100", B"00001010", B"11011101",
466
 B"11001111", B"00000010", B"11011111", B"11110100", B"11010001",
467
 B"00010010", B"00011010", B"11010011", B"00001110", B"11101110",
468
 B"11101100", B"11100100", B"11010001", B"11100010", B"00001001",
469
 B"00100110", B"00101011", B"00010100", B"10111001", B"01001000",
470
 B"00011100", B"00011000", B"00001110", B"00100100", B"11110011",
471
 B"00010111", B"11100100", B"00001110", B"00100011", B"00011010",
472
 B"11011001", B"00001011", B"11000001", B"00001101", B"00010010",
473
 B"11100000", B"11010100", B"00001001", B"11010110", B"11011011",
474
 B"11011110", B"11011010", B"11010000", B"00100111", B"00011001",
475
 B"00011001", B"11110101", B"00011100", B"11100111", B"00101100",
476
 B"11100101", B"00010000", B"11111000", B"00110011", B"11010100",
477
 B"00000011", B"11100100", B"01001010", B"11100000", B"11011000",
478
 B"00111000", B"00101101", B"00011001", B"00100010", B"11010011",
479
 B"00001001", B"11100010", B"00100001", B"11111110", B"00011010",
480
 B"11101000", B"00010111", B"10110100", B"00010001", B"10111001",
481
 B"11111100", B"00101010", B"00000111", B"00100010", B"11111010",
482
 B"00010001", B"11100100", B"00111101", B"00011011", B"11110110",
483
 B"11100010", B"00011100", B"00110010", B"11010000", B"11011110",
484
 B"00010100", B"11000101", B"11101010", B"00011111", B"11110001",
485
 B"11101010", B"11011001", B"11110100", B"11001111", B"11111111",
486
 B"00011011", B"11111011", B"11010000", B"11101001", B"11110001",
487
 B"11010000", B"00110100", B"11110001", B"00011011", B"11100011",
488
 B"11010001", B"11111001", B"00011001", B"00100011", B"01011000",
489
 B"00101010", B"11110101", B"11100101", B"00111001", B"00110011",
490
 B"11010111", B"11101100", B"01001011", B"11011000", B"11101111",
491
 B"00000101", B"10111100", B"01010001", B"11110001", B"01000100",
492
 B"00011010", B"11101111", B"00001101", B"11111110", B"11011100",
493
 B"00100110", B"00010001", B"10111110", B"00001001", B"00011100",
494
 B"11001010", B"00011000", B"00000011", B"11010011", B"11101000",
495
 B"00101000", B"11100011", B"00011001", B"00010100", B"11100110",
496
 B"11110110", B"00010110", B"00010110", B"11101010", B"11010010",
497
 B"00001100", B"01000100", B"00000100", B"11010000", B"11000100",
498
 B"11101101", B"00000011", B"00101011", B"11011000", B"10110101",
499
 B"11010110", B"11110001", B"01010011", B"11001101", B"00001000",
500
 B"11001101", B"11011111", B"11011110", B"00100111", B"00100101",
501
 B"11110000", B"00110010", B"11001101", B"01001000", B"01000110",
502
 B"00111001", B"11110010", B"00100110", B"11100010", B"00001111",
503
 B"11001010", B"11110001", B"00000100", B"00010100", B"11001111",
504
 B"11011000", B"11100011", B"00001011", B"10111101", B"00010001",
505
 B"11110000", B"11101000", B"00010100", B"11101101", B"11111010",
506
 B"11111000", B"11110000", B"00010000", B"00111000", B"00101011",
507
 B"00000111", B"11111111", B"11111010", B"11100110", B"10101110",
508
 B"11100110", B"11000100", B"11001110", B"11010011", B"00000101",
509
 B"00010101", B"11111101", B"00101001", B"11001000", B"11111010",
510
 B"11011011", B"11001011", B"00101000", B"00101110", B"00011111",
511
 B"00110011", B"00000000", B"00011000", B"00000000", B"00111111",
512
 B"00000000", B"11011111", B"11010001", B"00011011", B"11011001",
513
 B"00101001", B"00000100", B"00001000", B"11111110", B"00001100",
514
 B"00100111", B"00011111", B"00010000", B"00011000", B"11010100",
515
 B"11101110", B"11111111", B"00101111", B"11100011", B"11101110",
516
 B"00111101", B"11010011", B"00101100", B"11100000", B"11001110",
517
 B"11011101", B"00111001", B"00001010", B"11010101", B"01000001",
518
 B"11011100", B"00101100", B"11110010", B"11111001", B"00111010",
519
 B"00110101", B"00101000", B"00001111", B"00110101", B"00100000",
520
 B"00011000", B"11011011", B"11110001", B"00100111", B"00011000",
521
 B"00001110", B"01001000", B"11110101", B"00011111", B"00110011",
522
 B"00100101", B"00100100", B"00110111", B"00110011", B"00101000",
523
 B"11100100", B"00001110", B"11001001", B"11101010", B"11001011",
524
 B"01001000", B"11010101", B"11110100", B"00011011", B"11100111",
525
 B"00000000", B"11000011", B"11011111", B"11010100", B"10101101",
526
 B"11000100", B"11001111", B"11110110", B"00000101", B"00010010",
527
 B"00101010", B"00010010", B"00111010", B"11101010", B"00010111",
528
 B"11110001", B"11101110", B"10110010", B"01000011", B"00100000",
529
 B"11011000", B"00111010", B"00011010", B"11001110", B"00100100",
530
 B"00011001", B"11011101", B"11010011", B"11101010", B"00110100",
531
 B"00101101", B"00010100", B"00101100", B"11001101", B"00011101",
532
 B"00010010", B"11001100", B"00101110", B"00101111", B"11010110",
533
 B"00010110", B"00100000", B"11001111", B"00010000", B"00011101",
534
 B"01000000", B"00010010", B"00100010", B"11100010", B"00001111",
535
 B"11101011", B"00101111", B"00100110", B"00101101", B"00010011",
536
 B"00011101", B"00111000", B"01000111", B"00011110", B"11010010",
537
 B"00000100", B"11101111", B"00001010", B"11100101", B"11011001",
538
 B"00010010", B"00010011", B"11101101", B"00101000", B"00100011",
539
 B"11000011", B"11011100", B"00000011", B"11001110", B"11010101",
540
 B"11100001", B"00010110", B"11011101", B"11010010", B"11010100",
541
 B"01001001", B"00001111", B"11100000", B"00000100", B"11011111",
542
 B"00010010", B"11110000", B"11011011", B"10101101", B"00000011",
543
 B"00100110", B"11111011", B"11110011", B"00010110", B"11111001",
544
 B"00100100", B"11110010", B"11011101", B"00100010", B"11010101",
545
 B"10111100", B"00010111", B"00100011", B"00100001", B"00100000",
546
 B"11010101", B"11001001", B"00010101", B"00001011", B"11110010",
547
 B"00110011", B"11110110", B"11101101", B"00001010", B"00011100",
548
 B"00100101", B"00101111", B"11001001", B"00010111", B"11101110",
549
 B"11101001", B"11001110", B"00011111", B"11110001", B"11110011",
550
 B"00010110", B"11011010", B"00101111", B"11100111", B"11010010",
551
 B"00010111", B"00000000", B"11000110", B"11010001", B"11101001",
552
 B"00100100", B"11110011", B"00110100", B"00001101", B"11100100",
553
 B"00011111", B"00010110", B"00010010", B"11101011", B"00000011",
554
 B"11111001", B"00010001", B"00001101", B"11011111", B"00011100",
555
 B"11100100", B"11010100", B"01011100", B"11001001", B"00001101",
556
 B"00011110", B"11101010", B"01000000", B"00000011", B"11100111",
557
 B"10111000", B"00110001", B"00110001", B"00011111", B"00010011",
558
 B"11110001", B"11110111", B"11111101", B"11101000", B"11101111",
559
 B"00111100", B"11011001", B"11011011", B"00000011", B"11001110",
560
 B"01000101", B"11100001", B"11011001", B"00001111", B"11111100",
561
 B"11010101", B"11111011", B"11101101", B"11110001", B"11111110",
562
 B"11111110", B"00101000", B"11110001", B"11010100", B"11001101",
563
 B"11111110", B"00101100", B"11111000", B"00101001", B"11110000",
564
 B"11100101", B"00101101", B"11101110", B"11100100", B"11100111",
565
 B"00100011", B"01011011", B"11000100", B"00101011", B"11101010",
566
 B"10110000", B"00001001", B"00001111", B"11100110", B"00000100",
567
 B"11000001", B"11111000", B"11101011", B"00010001", B"11011000",
568
 B"11100111", B"11001100", B"00101001", B"00101100", B"11010111",
569
 B"00100000", B"00000011", B"11111101", B"11100001", B"11010000",
570
 B"00011101", B"00011001", B"11001101", B"00001011", B"00101000",
571
 B"11100101", B"11011011", B"11100101", B"11110100", B"11010111",
572
 B"00110100", B"01001001", B"00010100", B"11110100", B"00101000",
573
 B"11010000", B"00011110", B"11100000", B"00011111", B"00001110",
574
 B"00011110", B"11000100", B"00100101", B"11011100", B"00010000",
575
 B"11100101", B"11101000", B"00010000", B"00001011", B"00000101",
576
 B"11011010", B"00011111", B"11000000", B"00011110", B"00011100",
577
 B"11001001", B"01000111", B"00010011", B"11100011", B"00010011",
578
 B"01000110", B"11100111", B"11010011", B"00010111", B"11001001",
579
 B"01000000", B"11100001", B"11110010", B"00010010", B"01001101",
580
 B"11011101", B"11100010", B"11100011", B"11011001", B"00000010",
581
 B"00000101", B"00111010", B"00111010", B"11100000", B"11101011",
582
 B"01010110", B"00100011", B"00110000", B"00010010", B"10111110",
583
 B"11011011", B"11100101", B"00110101", B"00101110", B"00011101",
584
 B"11100100", B"00101001", B"11011111", B"00001010", B"00111011",
585
 B"11100110", B"00010011", B"11010011", B"11011000", B"11111011",
586
 B"00101011", B"00011011", B"11011100", B"00000010", B"11100111",
587
 B"00100000", B"00000000", B"11111101", B"00101111", B"00010100",
588
 B"00100000", B"00110110", B"10111011", B"11100011", B"00010100",
589
 B"00011001", B"11100001", B"11110011", B"00110100", B"00010011",
590
 B"11010100", B"00010011", B"00100111", B"11100010", B"11101111",
591
 B"00101001", B"11111111", B"00110000", B"11110001", B"00100000",
592
 B"00001000", B"11001011", B"00111001", B"00010001", B"10111110",
593
 B"00011111", B"11100001", B"00101010", B"11101111", B"11100110",
594
 B"00111100", B"00100011", B"00011100", B"11100100", B"11101100",
595
 B"00110010", B"11100100", B"11011000", B"11001001", B"11100100",
596
 B"00000111", B"11111011", B"00101100", B"11101010", B"11010110",
597
 B"11110110", B"00011101", B"00101111", B"00011110", B"00001010",
598
 B"00011000", B"00011111", B"00011001", B"01001110", B"00011110",
599
 B"00011001", B"00000100", B"00010001", B"10110101", B"11100100",
600
 B"00010011", B"00100111", B"00000000", B"11100001", B"00000011",
601
 B"00001110", B"00000011", B"11100111", B"00011011", B"00010000",
602
 B"11101111", B"10101101", B"00100011", B"10110111", B"11101110",
603
 B"00011001", B"11010111", B"11100000", B"11010101", B"11101010",
604
 B"11110101", B"00101101", B"11101111", B"11010101", B"00001100",
605
 B"01000100", B"11001001", B"10110001", B"11000110", B"11101111",
606
 B"00100111", B"00010101", B"00010111", B"00100001", B"11000100",
607
 B"11001010", B"11111010", B"11010011", B"11110110", B"11110010",
608
 B"11101000", B"00101001", B"00011101", B"00110111", B"01010010",
609
 B"00111101", B"00011001", B"11100001", B"01100110", B"11010001",
610
 B"00101110", B"10101100", B"11110000", B"11110110", B"00001011",
611
 B"11010001", B"00011110", B"11000011", B"11001101", B"00001010",
612
 B"11010111", B"00001100", B"11001101", B"11101000", B"11010100",
613
 B"00101100", B"00010011", B"11010010", B"11100000", B"11110001",
614
 B"11000011", B"11100101", B"00001110", B"00000000", B"11111010",
615
 B"11111101", B"11000101", B"10111111", B"00011011", B"00000001",
616
 B"00011001", B"00110010", B"11011111", B"11110101", B"00010001",
617
 B"00011011", B"00001001", B"00101011", B"11011011", B"00101001",
618
 B"11001000", B"00011101", B"11100011", B"00111011", B"11011010",
619
 B"11111000", B"00011001", B"11011000", B"11110000", B"00011100",
620
 B"11000111", B"10111110", B"00000111", B"00011101", B"00011111",
621
 B"00000010", B"11101001", B"00010010", B"00011010", B"00011011",
622
 B"11010001", B"00100011", B"00011111", B"11100000", B"11110111",
623
 B"00100001", B"11101101", B"11100100", B"00100011", B"00001010",
624
 B"00100110", B"00010011", B"11100001", B"00000101", B"00101100",
625
 B"00011010", B"00100010", B"11011110", B"00101010", B"11001010",
626
 B"00110111", B"00000000", B"11100101", B"11000011", B"11111111",
627
 B"11101100", B"00100111", B"11001001", B"00010111", B"00000000",
628
 B"00100111", B"11110101", B"11101110", B"11110000", B"11100011",
629
 B"00010010", B"11001101", B"00100010", B"00010111", B"00101001",
630
 B"00011001", B"11001101", B"00000001", B"11001010", B"00001010",
631
 B"11010101", B"11100111", B"11011010", B"11110100", B"00110010",
632
 B"00111010", B"00001001", B"00100100", B"11101101", B"11101111",
633
 B"00101010", B"11101110", B"00000111", B"11011010", B"11100000",
634
 B"00111011", B"00110101", B"00000011", B"00010101", B"11001000",
635
 B"00010111", B"00101000", B"11000111", B"11101100", B"00101001",
636
 B"11111101", B"11011011", B"11110100", B"10111100", B"11110110",
637
 B"00110001", B"00100111", B"00101001", B"01001100", B"11010011",
638
 B"00010110", B"11011101", B"11011111", B"11010100", B"00110001",
639
 B"00101010", B"00000000", B"00011110", B"00000101", B"11110110",
640
 B"11111000", B"00100001", B"00011100", B"11010111", B"00000011",
641
 B"11101001", B"11010000", B"00011010", B"00100001", B"11101110",
642
 B"00011001", B"11011111", B"00101001", B"00010001", B"00101101",
643
 B"11111101", B"01001111", B"11101100", B"00101011", B"00001011",
644
 B"00010011", B"00010110", B"00000011", B"11110001", B"00011010",
645
 B"00000010", B"00001001", B"11010010", B"11100100", B"00001110",
646
 B"01000000", B"00011011", B"00001001", B"00111100", B"11011111",
647
 B"01001100", B"11101101", B"00100001", B"00001010", B"00100001",
648
 B"11101001", B"00101000", B"00011100", B"00010110", B"11001100",
649
 B"00011011", B"00111001", B"00010000", B"00000100", B"00110111",
650
 B"00000000", B"01000101", B"11101100", B"00001011", B"11100111",
651
 B"11101001", B"11011111", B"00100101", B"11110100", B"10111010",
652
 B"00011000", B"11101011", B"01001101", B"11110110", B"11100110",
653
 B"11001001", B"11111011", B"00101001", B"11010000", B"00110000",
654
 B"00010101", B"00011011", B"11011111", B"00000011", B"11000010",
655
 B"00000010", B"11111010", B"00111010", B"11001100", B"11101000",
656
 B"11011010", B"00010010", B"00101110", B"11011110", B"00111000",
657
 B"00011101", B"11111101", B"11011000", B"00011010", B"00100010",
658
 B"11000000", B"11111010", B"11111100", B"00101110", B"01000001",
659
 B"11110111", B"00101110", B"10111011", B"00101100", B"11111110",
660
 B"11011011", B"11011000", B"10110101", B"00100110", B"01001000",
661
 B"11010010", B"01001100", B"11010001", B"11101010", B"00000001",
662
 B"11110111", B"11100101", B"11101001", B"00111011", B"00010001",
663
 B"00101101", B"11111111", B"11000001", B"11101010", B"11010011",
664
 B"11111001", B"00110100", B"11001101", B"00000011", B"00001001",
665
 B"00100001", B"11001010", B"00000101", B"00000000", B"11101000",
666
 B"11001001", B"00100101", B"00000111", B"11100110", B"00111101",
667
 B"11000011", B"11010001", B"11110011", B"11111001", B"00100100",
668
 B"01000100", B"11010001", B"10101000", B"00001100", B"11010111",
669
 B"11101100", B"11011110", B"11110101", B"00111100", B"00010100",
670
 B"00011001", B"00111100", B"00101100", B"00001010", B"00011001",
671
 B"11111100", B"11100100", B"11110110", B"11111011", B"00011100",
672
 B"00011001", B"11101001", B"00011011", B"11100100", B"00110101",
673
 B"00011011", B"00101001", B"01000111", B"00101110", B"00001001",
674
 B"00101010", B"00100100", B"00010000", B"11100011", B"11101001",
675
 B"11010101", B"11010011", B"11101010", B"11010100", B"11100001",
676
 B"11010100", B"00011101", B"11100101", B"11101110", B"00001001",
677
 B"00101111", B"00101111", B"11110111", B"00000100", B"11011101",
678
 B"00000100", B"11111111", B"11010110", B"11010011", B"11111000",
679
 B"00001111", B"00010111", B"00011110", B"01000000", B"11010010",
680
 B"00100011", B"00000100", B"01010110", B"00001000", B"00101110",
681
 B"00010110", B"00100110", B"11001000", B"11101001", B"11000000",
682
 B"01010001", B"11100001", B"11100110", B"11011111", B"11110010",
683
 B"10111110", B"00001100", B"00101110", B"00001011", B"01000100",
684
 B"11011000", B"11111001", B"00010001", B"00010110", B"11100010",
685
 B"01000001", B"11110101", B"11101111", B"11001101", B"11011111",
686
 B"00000000", B"00010000", B"00110000", B"11111000", B"00100100",
687
 B"11011010", B"00001111", B"00101111", B"00100101", B"00111010",
688
 B"01001001", B"00110100", B"01010010", B"00001000", B"00110011",
689
 B"11011001", B"00101101", B"10111001", B"11111101", B"11000101",
690
 B"00101010", B"00010110", B"11001001", B"00101010", B"11001101",
691
 B"11011001", B"11010001", B"01000110", B"00101101", B"11100101",
692
 B"00001011", B"00100100", B"11110001", B"11101100", B"00000000",
693
 B"00000110", B"01011010", B"11111101", B"11110101", B"00111100",
694
 B"00100000", B"00010010", B"00010000", B"00110010", B"00100010",
695
 B"00100111", B"00110100", B"11110011", B"11001000", B"00010001",
696
 B"11101000", B"11011110", B"11111110", B"11100010", B"00001010",
697
 B"11011000", B"11011110", B"11000101", B"11010011", B"01100001",
698
 B"11101001", B"00110100", B"00010110", B"11101000", B"11111001",
699
 B"11011011", B"10111011", B"00010011", B"11010010", B"00010011",
700
 B"11100111", B"10011101", B"11100110", B"00011000", B"00100011",
701
 B"10110011", B"00011010", B"11101111", B"00100100", B"00101100",
702
 B"11111110", B"11110000", B"00011100", B"00001011", B"11101100",
703
 B"11100110", B"11111100", B"11100100", B"00010001", B"00101000",
704
 B"01001110", B"00010110", B"00010010", B"00010011", B"10111111",
705
 B"00010100", B"00010101", B"00100111", B"01000011", B"11110010",
706
 B"11000111", B"11010011", B"00011101", B"11011011", B"11000100",
707
 B"00111011", B"11111011", B"11101000", B"11100000", B"11010000",
708
 B"00100101", B"00011010", B"00011000", B"00110101", B"00001110",
709
 B"00001000", B"00101010", B"00010101", B"11011001", B"00010111",
710
 B"00010101", B"00101110", B"10011111", B"00101000", B"11011000",
711
 B"01000110", B"00100000", B"00001100", B"11100101", B"00101010",
712
 B"01000000", B"11110001", B"11010001", B"00100111", B"00010111",
713
 B"11101111", B"00101010", B"00001001", B"11011000", B"00111001",
714
 B"00001000", B"11110011", B"11010001", B"11101101", B"00010101",
715
 B"11111001", B"00110000", B"11011100", B"11001110", B"11101111",
716
 B"00000010", B"00010000", B"11111001", B"01000100", B"00110001",
717
 B"00001000", B"00111010", B"00110011", B"00110001", B"00010010",
718
 B"00110001", B"00011101", B"00011101", B"00010111", B"00011010",
719
 B"00110010", B"00011100", B"00001001", B"11111000", B"11010100",
720
 B"11111000", B"11000100", B"00001110", B"11110100", B"00101010",
721
 B"11010010", B"00100111", B"11111010", B"11100011", B"00101011",
722
 B"00011101", B"11110010", B"11101010", B"11110001", B"00010011",
723
 B"11011110", B"00111010", B"11010101", B"00010110", B"00111101",
724
 B"00011111", B"00100100", B"00001000", B"11001001", B"00010110",
725
 B"00100110", B"11101101", B"11010001", B"11000111", B"00111010",
726
 B"00100010", B"11010101", B"11101101", B"11101110", B"11011110",
727
 B"10111100", B"00011111", B"11100000", B"11100101", B"00000001",
728
 B"11101000", B"11101010", B"11111010", B"11001010", B"11011100",
729
 B"00111101", B"00101011", B"00000110", B"10111010", B"11011100",
730
 B"11100100", B"11101111", B"01010000", B"00101111", B"11011100",
731
 B"00000101", B"00010100", B"00101000", B"00110001", B"00101111",
732
 B"00100111", B"00100110", B"00010011", B"00011100", B"00110001",
733
 B"00111000", B"00010101", B"00111010", B"00010110", B"00101001",
734
 B"00010100", B"11110100", B"00101110", B"11111111", B"01000001",
735
 B"11011111", B"11011111", B"11110111", B"11101001", B"11010101",
736
 B"11110110", B"01000000", B"00011110", B"11100110", B"11011111",
737
 B"00011000", B"00000111", B"00001101", B"10110000", B"00001101",
738
 B"11010001", B"00010011", B"11001101", B"00010000", B"00001001",
739
 B"11111010", B"11011001", B"11011100", B"11001010", B"11110011",
740
 B"00010100", B"11101000", B"00001101", B"00001111", B"00100101",
741
 B"00010111", B"00010010", B"00101000", B"11100000", B"00100000",
742
 B"00001001", B"00110010", B"00000111", B"00100101", B"10110101",
743
 B"00110101", B"11110001", B"11110100", B"00011010", B"11110101",
744
 B"00011000", B"11010011", B"11111010", B"00011110", B"00010111",
745
 B"00001011", B"11100110", B"11011010", B"11011100", B"00011100",
746
 B"11011100", B"00010000", B"00100101", B"11101110", B"11111111",
747
 B"11101101", B"11100001", B"00010011", B"00000101", B"11101111",
748
 B"01000001", B"11011101", B"00100001", B"00001000", B"10111011",
749
 B"00100111", B"01011101", B"00000011", B"11101101", B"00011000",
750
 B"11011101", B"11110101", B"11101110", B"00111011", B"00100100",
751
 B"11000110", B"00101100", B"00110110", B"00000100", B"00100111",
752
 B"00011010", B"11111000", B"11101011", B"00011010", B"00101001",
753
 B"00101111", B"00001110", B"01000100", B"11110111", B"01010000",
754
 B"00001011", B"00011111", B"01010010", B"10111111", B"00101001",
755
 B"00110000", B"11010000", B"00010010", B"00000010", B"10101011",
756
 B"00000001", B"00010101", B"11001111", B"00101110", B"11100110",
757
 B"11111101", B"00111111", B"00011000", B"10110011", B"10111110",
758
 B"00001010", B"11100101", B"00000110", B"10111110", B"11100110",
759
 B"00111001", B"11100011", B"00010100", B"11111111", B"11111100",
760
 B"11111001", B"00010101", B"00100101", B"11110010", B"11111011",
761
 B"10101000", B"00010110", B"00010011", B"00010111", B"00100111",
762
 B"10111000", B"11010001", B"00001001", B"11001111", B"11010000",
763
 B"11111000", B"11001111", B"00011100", B"10111101", B"00101000",
764
 B"11011001", B"11000001", B"11111001", B"11011100", B"00110100",
765
 B"00011101", B"00001001", B"00000110", B"00100100", B"10111001",
766
 B"11100011", B"00001010", B"11111100", B"11011011", B"11100111",
767
 B"11010101", B"01001111", B"00010011", B"11001110", B"11000101",
768
 B"00100001", B"00011110", B"11111010", B"11101011", B"00101111",
769
 B"11111010", B"00011110", B"11001110", B"00110001", B"11001010",
770
 B"00000111", B"11011100", B"00010000", B"11010100", B"00010000",
771
 B"00100101", B"11001001", B"00011100", B"00010001", B"11000101",
772
 B"11111100", B"00011001", B"00100101", B"11011011", B"00111010",
773
 B"11110111", B"00010101", B"10111111", B"11100001", B"00100000",
774
 B"11111100", B"00111010", B"10110100", B"11110101", B"00001100",
775
 B"11111100", B"11001101", B"00101110", B"11011001", B"11110001",
776
 B"11010101", B"00111000", B"00100101", B"11100101", B"00011000",
777
 B"00011011", B"11000010", B"00000000", B"10111100", B"00000000",
778
 B"11101001", B"11100001", B"11011100", B"11011101", B"11110010",
779
 B"10111100", B"00101110", B"11111100", B"00101011", B"00110011",
780
 B"00011010", B"01000101", B"11101100", B"00010000", B"11101110",
781
 B"11100100", B"00100100", B"00011011", B"11010110", B"11000000",
782
 B"11010000", B"00111101", B"00100110", B"11100011", B"00101111",
783
 B"11111011", B"00001000", B"00111011", B"11001001", B"00110001",
784
 B"00011011", B"11101011", B"11010000", B"00101110", B"11110110",
785
 B"00001101", B"00101011", B"00110001", B"11100111", B"00110101",
786
 B"10111110", B"00001010", B"00011011", B"11010111", B"00010110",
787
 B"00110011", B"10111101", B"00100101", B"00010100", B"11111000",
788
 B"00011110", B"01001111", B"11010101", B"00111000", B"00111100",
789
 B"11101111", B"11010100", B"11110111", B"10101101", B"01001011",
790
 B"11101110", B"00111011", B"11100011", B"11000000", B"00101101",
791
 B"00111000", B"10111110", B"00100010", B"11111111", B"00010010",
792
 B"11001000", B"11000100", B"00011001", B"00001001", B"11011000",
793
 B"00010011", B"11111111", B"00001110", B"11010000", B"11010000",
794
 B"00111000", B"00010100", B"00011101", B"00001111", B"00101000",
795
 B"11011101", B"11111000", B"11100111", B"00010101", B"11010100",
796
 B"00010100", B"01000110", B"11010110", B"01001001", B"00000101",
797
 B"11101001", B"01001010", B"00101110", B"00111010", B"00101101",
798
 B"00011001", B"00101011", B"00010110", B"00011011", B"00001000",
799
 B"00110100", B"11001101", B"00110000", B"11110001", B"01001001",
800
 B"00001000", B"00011001", B"00110101", B"00000111", B"00010010",
801
 B"10101101", B"00001100", B"11011110", B"11100001", B"10110111",
802
 B"00111001", B"00101111", B"11010011", B"01010101", B"11100110",
803
 B"11001010", B"11010001", B"00101000", B"00000110", B"11011000",
804
 B"00110010", B"01011111", B"01000110", B"00101011", B"00001001",
805
 B"00111100", B"00100000", B"00011011", B"00101000", B"11101001",
806
 B"00101110", B"00100110", B"11001100", B"00000001", B"00110110",
807
 B"11101010", B"11001110", B"00000110", B"00000101", B"00001010",
808
 B"00000000", B"00101110", B"11100000", B"00100110", B"11110001",
809
 B"11100010", B"11011001", B"11101011", B"00110001", B"00110100",
810
 B"00010010", B"00011111", B"00111011", B"11011111", B"00100000",
811
 B"00110101", B"11111000", B"00100011", B"00010110", B"11010010",
812
 B"11111101", B"00011101", B"11110111", B"00011000", B"11101000",
813
 B"10111111", B"00111000", B"11011010", B"11011100", B"11001111",
814
 B"10101111", B"10111000", B"00100001", B"01001010", B"00001100",
815
 B"00101110", B"11011111", B"00010010", B"00001011", B"00101110",
816
 B"11111010", B"00010100", B"11100110", B"00110000", B"11010101",
817
 B"11000100", B"11001100", B"00110111", B"00110110", B"11100010",
818
 B"00111101", B"11100111", B"00010101", B"00011100", B"10111001",
819
 B"11111111", B"11100100", B"00110100", B"00010111", B"00001001",
820
 B"11011011", B"10101010", B"11001011", B"11111011", B"00011010",
821
 B"11011100", B"00111000", B"11011001", B"10110011", B"00111001",
822
 B"11000111", B"00001001", B"10111111", B"00101100", B"00111010",
823
 B"11100101", B"00101100", B"01000110", B"11110110", B"00001100",
824
 B"01010000", B"00010000", B"00011000", B"00001001", B"11001111",
825
 B"11011010", B"00111100", B"00011101", B"00101001", B"00010100",
826
 B"11111001", B"11000111", B"00001000", B"00110100", B"11010000",
827
 B"10111110", B"00111100", B"00010011", B"11011000", B"11011000",
828
 B"00011111", B"00010110", B"00101010", B"00100101", B"00111000",
829
 B"00100000", B"00000000", B"00010000", B"11001001", B"00011011",
830
 B"00000011", B"11011100", B"11010010", B"00100011", B"01010010",
831
 B"11110011", B"11001111", B"11011011", B"00010100", B"00101010",
832
 B"00111101", B"11110101", B"11010001", B"11010011", B"00100000",
833
 B"00010001", B"11011110", B"00101100", B"00110101", B"11011110",
834
 B"11010001", B"00010011", B"00001000", B"00100000", B"00110101",
835
 B"00111000", B"11101110", B"00010111", B"11110001", B"00010110",
836
 B"11010011", B"00011011", B"11011100", B"11100101", B"11011010",
837
 B"00001110", B"00011101", B"11110000", B"00101110", B"11101010",
838
 B"11110010", B"00011100", B"10111010", B"11110001", B"11000011",
839
 B"11000110", B"10111001", B"00010111", B"00010100", B"00110101",
840
 B"11101010", B"00100101", B"10111111", B"00111110", B"11111100",
841
 B"11010010", B"10110100", B"11100100", B"00110000", B"00001111",
842
 B"00010010", B"00101000", B"11101010", B"00000001", B"11101100",
843
 B"00111000", B"11001101", B"00100110", B"11111011", B"00100011",
844
 B"00100111", B"11100101", B"11100000", B"00011100", B"11011010",
845
 B"11101000", B"11111010", B"11100000", B"11100110", B"11010101",
846
 B"00010101", B"00010001", B"01010001", B"00100010", B"11011100",
847
 B"11111111", B"11110100", B"00001111", B"00000001", B"11000110",
848
 B"11100101", B"11010101", B"00000101", B"11010010", B"11011010",
849
 B"10101100", B"01000110", B"11001111", B"00111001", B"11000100",
850
 B"11011011", B"01000011", B"11011101", B"00011100", B"00100100",
851
 B"11011110", B"11100011", B"11101011", B"11010011", B"11011100",
852
 B"00000110", B"00100110", B"11011110", B"00110111", B"00011111",
853
 B"11010101", B"11000001", B"01000101", B"00011110", B"11101100",
854
 B"00011111", B"11110001", B"11011000", B"00111010", B"01010010",
855
 B"11101011", B"00000000", B"11110001", B"00010100", B"11010110",
856
 B"11011111", B"11101001", B"00001101", B"00101100", B"01010011",
857
 B"11101101", B"00101000", B"11001011", B"11011100", B"11110111",
858
 B"00010001", B"00010100", B"11001111", B"00111101", B"11110010",
859
 B"00000110", B"11101011", B"11100111", B"01000011", B"11111100",
860
 B"00100010", B"00010010", B"00110010", B"00111000", B"00001100",
861
 B"00010010", B"11111001", B"00100000", B"11110101", B"11111110",
862
 B"01000100", B"00010001", B"00011110", B"00001101", B"00000010",
863
 B"00011110", B"11110111", B"00001101", B"00000010", B"00110111",
864
 B"11101111", B"11101011", B"11101111", B"00100100", B"11110011",
865
 B"11100100", B"00010010", B"01000000", B"00101111", B"00000101",
866
 B"11010001", B"11101001", B"00111001", B"11101100", B"11111010",
867
 B"00101111", B"11110011", B"11100010", B"11011100", B"11011110",
868
 B"00101011", B"11110100", B"11010001", B"00110010", B"11110110",
869
 B"11110110", B"11001010", B"11010011", B"11010001", B"11110010",
870
 B"00011000", B"00011001", B"00010110", B"00011100", B"11011110",
871
 B"11000010", B"00101010", B"01000111", B"11100010", B"11010100",
872
 B"11101101", B"00111011", B"11010010", B"11100000", B"00110000",
873
 B"11111110", B"11001101", B"00000001", B"00010101", B"11101011",
874
 B"10110110", B"00100001", B"00010110", B"00110000", B"00100001",
875
 B"11101111", B"00101000", B"00001111", B"01000010", B"00011101",
876
 B"00011000", B"11000001", B"01101000", B"00101100", B"11011000",
877
 B"00100010", B"00010111", B"11101110", B"00000110", B"00010000",
878
 B"11000111", B"11110011", B"00111000", B"11110000", B"11111100",
879
 B"01000001", B"11100110", B"00000010", B"11101001", B"00100101",
880
 B"11011010", B"11000100", B"00101110", B"00110001", B"11111110",
881
 B"00100010", B"11100010", B"00111001", B"11110001", B"10110001",
882
 B"00000011", B"00101101", B"00000011", B"11010011", B"01000101",
883
 B"11010001", B"11100111", B"11101101", B"00010101", B"00110001",
884
 B"00101001", B"11010100", B"11100110", B"00110010", B"11100011",
885
 B"11110011", B"11101111", B"11010100", B"11110010", B"00000100",
886
 B"00100011", B"00111100", B"11100101", B"00101011", B"11110101",
887
 B"00001001", B"01001010", B"00010101", B"00101000", B"11101001",
888
 B"00100100", B"11010111", B"00001111", B"00001101", B"00100110",
889
 B"10111010", B"00001110", B"00010100", B"11110111", B"01010000",
890
 B"11100100", B"11011100", B"11010001", B"11001010", B"11101110",
891
 B"11011000", B"00011100", B"00011001", B"00100000", B"00001110",
892
 B"00101110", B"00011010", B"10101110", B"11000011", B"00010110",
893
 B"00111100", B"00000001", B"11110110", B"11111111", B"11110001",
894
 B"11110011", B"11011111", B"11101001", B"00010111", B"11011001",
895
 B"00001001", B"11011000", B"00100110", B"00100011", B"11101111",
896
 B"11010010", B"10111000", B"11111001", B"11111001", B"00100110",
897
 B"11111000", B"11000100", B"11011100", B"11001110", B"01001010",
898
 B"11110000", B"00000101", B"00001101", B"11000101", B"11101100",
899
 B"00100000", B"10100100", B"11011101", B"11000101", B"11001001",
900
 B"11100000", B"11011110", B"00100000", B"00011101", B"00110000",
901
 B"00010000", B"11011010", B"11101001", B"11101101", B"00001101",
902
 B"11011000", B"00100001", B"00000000", B"00001011", B"00101101",
903
 B"00010110", B"11100110", B"00001000", B"00010101", B"11001100",
904
 B"11010010", B"11111011", B"11101000", B"10100111", B"00010001",
905
 B"00110111", B"11100100", B"11100001", B"00100010", B"00001101",
906
 B"11010011", B"11000111", B"00001000", B"00010100", B"00001001",
907
 B"00100101", B"00100110", B"01000101", B"00111010", B"00000001",
908
 B"00011001", B"01000001", B"11100111", B"00010110", B"00000110",
909
 B"11101101", B"11101111", B"01001001", B"00010101", B"11110011",
910
 B"11011110", B"00011100", B"11011100", B"11101010", B"11101111",
911
 B"11111011", B"11111110", B"00000100", B"00100111", B"00111000",
912
 B"00101101", B"00100011", B"11111110", B"00010000", B"11010000",
913
 B"11101010", B"11111101", B"00110010", B"00100001", B"00010011",
914
 B"11101010", B"11010100", B"11011001", B"11101101", B"00110101",
915
 B"11000111", B"00101000", B"11010110", B"11010101", B"00100100",
916
 B"00110001", B"10110010", B"00101110", B"00111011", B"11011111",
917
 B"00110100", B"00011100", B"11100100", B"00110001", B"00010000",
918
 B"11100011", B"11101111", B"00110000", B"11010110", B"11100000",
919
 B"00110010", B"11011011", B"11110111", B"11000101", B"00100000",
920
 B"00100111", B"11100011", B"00101110", B"10110011", B"00000001",
921
 B"00111000", B"11101010", B"11100000", B"00000000", B"00100000",
922
 B"11110110", B"00000110", B"00100101", B"00111011", B"00100011",
923
 B"00000001", B"00110011", B"00001000", B"00110111", B"11111101",
924
 B"10101111", B"00001111", B"11011010", B"11100110", B"11010101",
925
 B"00101001", B"01000001", B"11100100", B"00110110", B"00111110",
926
 B"00110110", B"00001010", B"11110111", B"00011000", B"00100011",
927
 B"11111010", B"11010001", B"00001011", B"11111101", B"00110101",
928
 B"00000101", B"11111001", B"00011111", B"00111010", B"00100001",
929
 B"00010110", B"11011011", B"11100010", B"00001110", B"00010100",
930
 B"11101101", B"11011100", B"00100001", B"00111101", B"00000110",
931
 B"11000011", B"00001111", B"00001100", B"00010001", B"10111111",
932
 B"00110100", B"11110100", B"11000111", B"11100000", B"00000010",
933
 B"00011010", B"11010111", B"01000000", B"00110010", B"11011100",
934
 B"00111101", B"00100001", B"11001100", B"00111110", B"00101111",
935
 B"11110111", B"00110110", B"00000111", B"00000110", B"11010011",
936
 B"00100100", B"00000101", B"00000110", B"11100001", B"11001001",
937
 B"11101010", B"00001111", B"00010010", B"00011101", B"00100010",
938
 B"11011100", B"11010011", B"11010010", B"00101111", B"00011111",
939
 B"00000110", B"10111011", B"11110011", B"11000011", B"00010100",
940
 B"11110100", B"11011010", B"00000011", B"11100110", B"11101101",
941
 B"11010110", B"11000101", B"00111111", B"00010101", B"11100011",
942
 B"00001011", B"00100100", B"11100110", B"00100010", B"00010110",
943
 B"11011010", B"00000001", B"11110101", B"01001011", B"11101001",
944
 B"00111000", B"11100111", B"11111001", B"00100010", B"11111101",
945
 B"00010001", B"11100111", B"00100011", B"00011111", B"11010101",
946
 B"11100010", B"00110000", B"11110101", B"00001000", B"11101000",
947
 B"11100011", B"11100100", B"00101110", B"00010011", B"11000111",
948
 B"11101111", B"00000101", B"00000001", B"00001101", B"11000000",
949
 B"11101011", B"00010010", B"11101101", B"11101011", B"00010011",
950
 B"11011111", B"11010000", B"10101101", B"00000001", B"00110100",
951
 B"11110010", B"00010100", B"00110001", B"11011011", B"11001000",
952
 B"00100111", B"00001100", B"00010000", B"11100101", B"11011011",
953
 B"11110101", B"00011011", B"00010101", B"11110011", B"00100000",
954
 B"11100101", B"00110001", B"11111011", B"01000000", B"00010100",
955
 B"00101001", B"11101100", B"01000001", B"11001110", B"11111111",
956
 B"00110101", B"01001010", B"11010110", B"00111011", B"11110111",
957
 B"00000000", B"11100110", B"00101000", B"11100011", B"00011110",
958
 B"11100001", B"00000000", B"11100111", B"11101111", B"01000000",
959
 B"00111001", B"00110100", B"00111000", B"11000100", B"00101001",
960
 B"00101010", B"11100100", B"11011111", B"00001001", B"00001101",
961
 B"11111001", B"11110010", B"01000001", B"11111110", B"11100000",
962
 B"11001001", B"11111111", B"11110000", B"11011001", B"00000001",
963
 B"01000100", B"00011001", B"00111011", B"11110010", B"11100101",
964
 B"11010101", B"11101001", B"11100001", B"00101110", B"00000111",
965
 B"11000101", B"00100101", B"11011110", B"00001110", B"00100010",
966
 B"11000011", B"00011000", B"00011010", B"10111010", B"11101000",
967
 B"00101011", B"00010110", B"11000011", B"11011000", B"00011101",
968
 B"11110000", B"11111001", B"11001101", B"11110000", B"00001001",
969
 B"00100101", B"00011010", B"10111110", B"00000001", B"11100110",
970
 B"01001101", B"11010001", B"11110101", B"11010010", B"11110101",
971
 B"11010000", B"11011111", B"00101111", B"11110001", B"00101001",
972
 B"11000100", B"11000011", B"11111101", B"11110000", B"00101010",
973
 B"11110100", B"11100000", B"00001111", B"11010011", B"11110111",
974
 B"00100010", B"11001011", B"01001100", B"11110100", B"11101011",
975
 B"00000001", B"11100110", B"11000111", B"11010000", B"11101010",
976
 B"00100100", B"00110000", B"00100001", B"00000010", B"00110011",
977
 B"00011111", B"00000000", B"00011001", B"00001100", B"11100001",
978
 B"11010111", B"00010011", B"00011111", B"00001010", B"00011110",
979
 B"11011001", B"00000100", B"11110001", B"00101010", B"10110111",
980
 B"00100011", B"00111100", B"11101110", B"11000110", B"00000010",
981
 B"00111011", B"11110110", B"10110101", B"00111110", B"11001111",
982
 B"11001101", B"00100110", B"11010101", B"10111111", B"11100111",
983
 B"00000010", B"00011100", B"00000011", B"00010000", B"00110010",
984
 B"11011100", B"00111011", B"00011110", B"11011101", B"00001100",
985
 B"11011000", B"00001101", B"11000111", B"11011001", B"10111101",
986
 B"00001011", B"00010110", B"10110000", B"00110000", B"00010010",
987
 B"11110010", B"00001000", B"11000110", B"11100000", B"11110010",
988
 B"00000011", B"00100000", B"11100100", B"11100100", B"00110100",
989
 B"00010101", B"11010000", B"11101111", B"00011001", B"00111100",
990
 B"00110001", B"00100011", B"11110010", B"00001001", B"00011000",
991
 B"11101001", B"00000111", B"11110000", B"01010100", B"11101101",
992
 B"11100000", B"11100101", B"00100001", B"00100000", B"11001010",
993
 B"11100011", B"11001101", B"11100101", B"00101011", B"00110101",
994
 B"00011100", B"00011011", B"00010111", B"00100100", B"10111100",
995
 B"00110100", B"00000110", B"11110101", B"11100010", B"01010001",
996
 B"11100101", B"11100001", B"11011110", B"00001001", B"00000001",
997
 B"11010100", B"00001110", B"11101011", B"11100101", B"01000100",
998
 B"11011100", B"00101101", B"11100101", B"11100111", B"00110100",
999
 B"00100000", B"11100100", B"11001101", B"11100000", B"11110011",
1000
 B"00001000", B"00110000", B"00101100", B"00111011", B"00000100",
1001
 B"00001000", B"11110101", B"11001010", B"00011100", B"00001011",
1002
 B"11001101", B"11100111", B"11110100", B"11010000", B"00101000",
1003
 B"11100011", B"01000101", B"11011001", B"11101000", B"00010101",
1004
 B"11110101", B"00111111", B"00000011", B"11011010", B"00000011",
1005
 B"00110001", B"10110011", B"10100110", B"00010111", B"00000101",
1006
 B"00110101", B"00100011", B"00101010", B"00010110", B"00010010",
1007
 B"00111011", B"00100011", B"11110110", B"00100011", B"00001001",
1008
 B"11010011", B"10110101", B"00100001", B"00010000", B"00011011",
1009
 B"00100010", B"00000001", B"11100100", B"00100101", B"00011010",
1010
 B"11001011", B"11100010", B"00010011", B"11111011", B"00110100",
1011
 B"00101011", B"11010000", B"00010101", B"00100000", B"11101010",
1012
 B"00010101", B"11101001", B"00010110", B"11001000", B"11100111",
1013
 B"00010100", B"01000000", B"00100010", B"11111010", B"11011111",
1014
 B"00000101", B"11000110", B"00001010", B"00011111", B"11100100",
1015
 B"00011011", B"10110111", B"11100001", B"01001011", B"00100000",
1016
 B"00000111", B"11100111", B"11111000", B"10111100", B"00101110",
1017
 B"00011000", B"00101101", B"11101110", B"00011001", B"00010100",
1018
 B"00010110", B"00100011", B"00010110", B"00000001", B"11110001",
1019
 B"00100010", B"01000100", B"11011000", B"11010011", B"00011100",
1020
 B"11110001", B"11001010", B"00110110", B"11110010", B"00110110",
1021
 B"11000001", B"10110110", B"00011001", B"00001111", B"00010101",
1022
 B"11101101", B"00001111", B"11111000", B"11001110", B"11001001",
1023
 B"00011101", B"11100101", B"10111101", B"00010111", B"00101101",
1024
 B"00101011", B"00010110", B"11101011", B"00000000", B"11010010",
1025
 B"11001110", B"11101000", B"00110010", B"00001011", B"11010111",
1026
 B"00000001", B"11100100", B"11001111", B"11110011", B"11110111",
1027
 B"00010011", B"01001011", B"11011101", B"00011110", B"00000100",
1028
 B"00111110", B"00110100", B"11100001", B"11100001", B"00001100",
1029
 B"00010111", B"11101110", B"11011001", B"00100011", B"11000010",
1030
 B"00111001", B"11011100", B"11010100", B"11000010", B"00110100",
1031
 B"00001001", B"11101001", B"00100010", B"11111011", B"11011010",
1032
 B"11101010", B"11011000", B"11001000", B"11001010", B"00011100",
1033
 B"11100011", B"11100001", B"11101101", B"11011101", B"00010111",
1034
 B"00000111", B"00111101", B"01000000", B"00000110", B"11111010",
1035
 B"11111010", B"01000010", B"11101101", B"00111011", B"11011010",
1036
 B"00101100", B"00110011", B"11100000", B"11101111", B"00010010",
1037
 B"00000110", B"11100100", B"11001100", B"11001010", B"00000010",
1038
 B"00010011", B"00001010", B"11011000", B"00100111", B"11100000",
1039
 B"11110010", B"11101101", B"00011011", B"11011110", B"00011001",
1040
 B"11111111", B"11100000", B"11110011", B"00011011", B"00110001",
1041
 B"01000110", B"00010110", B"11000100", B"00010010", B"11010111",
1042
 B"00000101", B"11110110", B"11011110", B"00000111", B"00010101",
1043
 B"00110111", B"00000010", B"00100011", B"11110100", B"11100011",
1044
 B"11100010", B"00110000", B"11000010", B"00011100", B"11100000",
1045
 B"11100011", B"00100111", B"00100111", B"00100100", B"11011010",
1046
 B"11101010", B"00010001", B"11000100", B"10110110", B"11100011",
1047
 B"11110100", B"00010101", B"11100110", B"00101000", B"00001000",
1048
 B"11100111", B"00000101", B"00110100", B"00001010", B"00110010",
1049
 B"00011000", B"11010101", B"00000011", B"00011110", B"00001000",
1050
 B"11011000", B"00110100", B"00110010", B"11010000", B"00010001",
1051
 B"11110011", B"11011101", B"00000011", B"00100100", B"00100110",
1052
 B"00111110", B"00101111", B"11010010", B"00101010", B"00110011",
1053
 B"11001100", B"11000111", B"00110011", B"11110001", B"00111011",
1054
 B"11010001", B"10011110", B"11011100", B"00001111", B"01010010",
1055
 B"11101101", B"00001100", B"11010001", B"11011010", B"11101111",
1056
 B"00100000", B"11110100", B"00010010", B"00111100", B"11010101",
1057
 B"11011110", B"11100000", B"00011010", B"00000111", B"10111110",
1058
 B"00100000", B"00001010", B"00011010", B"11011110", B"00101001",
1059
 B"00001000", B"11111000", B"00001100", B"00011110", B"11110010",
1060
 B"00010100", B"11100101", B"11100100", B"00100011", B"11000100",
1061
 B"11100111", B"11101010", B"11001101", B"11101101", B"00000000",
1062
 B"00010100", B"10111110", B"11011010", B"10111110", B"11001101",
1063
 B"11010000", B"00110010", B"11111101", B"11000110", B"00101010",
1064
 B"00000111", B"11001000", B"11100010", B"11101100", B"01001001",
1065
 B"00000010", B"11101001", B"00010000", B"11011001", B"00111011",
1066
 B"11001101", B"00000010", B"00110001", B"11011011", B"11111011",
1067
 B"11010000", B"11011001", B"00101010", B"11100101", B"00101100",
1068
 B"11001100", B"00011011", B"00110101", B"00100011", B"11001000",
1069
 B"00001100", B"11000111", B"01010111", B"00100101", B"00100000",
1070
 B"00000101", B"11010000", B"00010011", B"11100110", B"11111100",
1071
 B"11010101", B"11111101", B"11001111", B"11110011", B"11100110",
1072
 B"11101000", B"00110001", B"11001010", B"00100101", B"11101011",
1073
 B"11101101", B"00111001", B"11010111", B"00111001", B"11101101",
1074
 B"11010010", B"00100110", B"00000010", B"11000001", B"11101100",
1075
 B"00010101", B"11100011", B"11011110", B"01001010", B"11011001",
1076
 B"00000000", B"00100100", B"11101001", B"00000011", B"11000011",
1077
 B"00010100", B"00101101", B"11110110", B"11010000", B"11100010",
1078
 B"11011000", B"11100101", B"00100111", B"00110001", B"11111110",
1079
 B"00110101", B"11110111", B"00011001", B"10111110", B"00011010",
1080
 B"00001111", B"11110100", B"00001000", B"00101110", B"00001101",
1081
 B"11100011", B"00101111", B"11111011", B"00000000", B"00110000",
1082
 B"00101110", B"00110110", B"11100010", B"11001011", B"00010111",
1083
 B"00010010", B"00101001", B"11101101", B"11111011", B"11010000",
1084
 B"00101010", B"11101101", B"11011111", B"11111011", B"10101010",
1085
 B"00001010", B"11100100", B"00010100", B"00010111", B"00101010",
1086
 B"11111000", B"00010010", B"00100101", B"00011101", B"00010010",
1087
 B"01011111", B"11011101", B"00101001", B"11100001", B"11101000",
1088
 B"11110000", B"00101001", B"00101111", B"00001010", B"00100100",
1089
 B"00101111", B"00110000", B"11100010", B"00100010", B"11010100",
1090
 B"00110011", B"11010000", B"11110110", B"00100001", B"00010000",
1091
 B"00110010", B"00010101", B"11101001", B"11100001", B"00010001",
1092
 B"00110100", B"00101100", B"00011110", B"00011100", B"00000011",
1093
 B"00100101", B"01001011", B"00000010", B"11011100", B"00101011",
1094
 B"11010110", B"11010111", B"11010010", B"00101000", B"00010100",
1095
 B"11000111", B"00010010", B"00010010", B"00011111", B"01001110",
1096
 B"00110111", B"00110000", B"11111001", B"00010111", B"00010010",
1097
 B"11111111", B"00011101", B"11110101", B"11100011", B"11110111",
1098
 B"00101100", B"00101001", B"00111110", B"00101000", B"00001101",
1099
 B"11011010", B"00100101", B"11100000", B"00110000", B"11000110",
1100
 B"11101111", B"11101101", B"11010001", B"11011110", B"01001011",
1101
 B"00011110", B"00001000", B"00100111", B"00100101", B"00100010",
1102
 B"00101100", B"00110001", B"00111010", B"00011100", B"11111001",
1103
 B"00110110", B"11100001", B"11010110", B"00001011", B"10111110",
1104
 B"00001011", B"11110000", B"11000100", B"00001001", B"11100000",
1105
 B"11011010", B"00100111", B"11011010", B"00100111", B"11001111",
1106
 B"10110011", B"00111011", B"00101101", B"00110001", B"11100011",
1107
 B"01001011", B"01000010", B"11110010", B"10011001", B"00101000",
1108
 B"11111010", B"00001110", B"11011000", B"00100001", B"11000000",
1109
 B"01000001", B"00010001", B"11101100", B"11101000", B"11011101",
1110
 B"11110111", B"00001111", B"00001101", B"11101000", B"00100111",
1111
 B"11101111", B"11110001", B"10111111", B"11011111", B"00100110",
1112
 B"00011000", B"11010110", B"00010011", B"11101010", B"00110001",
1113
 B"00000000", B"00101011", B"11101011", B"00111100", B"11011110",
1114
 B"00001101", B"11111111", B"00011101", B"00101110", B"10110111",
1115
 B"11111101", B"00101100", B"11000100", B"11011011", B"00101011",
1116
 B"11101011", B"00110111", B"11011011", B"11100100", B"10110011",
1117
 B"00101110", B"00100001", B"11110111", B"00111100", B"00111111",
1118
 B"11011001", B"11111111", B"00100110", B"00010111", B"11001000",
1119
 B"11000010", B"10110111", B"11100001", B"11111111", B"00001101",
1120
 B"00011100", B"11011110", B"11101101", B"01000101", B"00100111",
1121
 B"11000101", B"00111101", B"11011111", B"11000010", B"00001100",
1122
 B"00110110", B"00101100", B"10110001", B"00011010", B"11100001",
1123
 B"11101011", B"10101101", B"00011011", B"01010010", B"11100011",
1124
 B"11001001", B"00000100", B"00100000", B"00010010", B"00101010",
1125
 B"00101111", B"11100000", B"11011000", B"11110011", B"11111101",
1126
 B"00001110", B"00010011", B"00011011", B"00011011", B"11000000",
1127
 B"11011101", B"11101111", B"01000101", B"00000000", B"11111110",
1128
 B"11101001", B"11011110", B"11011110", B"11000100", B"00101101",
1129
 B"11110100", B"00101101", B"00010000", B"11101011", B"11101010",
1130
 B"00110110", B"00001001", B"00011111", B"00010100", B"01000000",
1131
 B"00101010", B"00110101", B"11111100", B"00100100", B"00001010",
1132
 B"00101111", B"11110011", B"11100110", B"11101101", B"11001100",
1133
 B"00010100", B"11010000", B"00000001", B"11011010", B"11100110",
1134
 B"11001110", B"00000100", B"11111100", B"11101011", B"00101111",
1135
 B"00010001", B"00000111", B"11111000", B"00111001", B"00101010",
1136
 B"11010000", B"00001001", B"11001111", B"00110111", B"00110101",
1137
 B"01001011", B"11100000", B"00001011", B"00011001", B"11001100",
1138
 B"00000100", B"00001100", B"00010000", B"11101101", B"11111001",
1139
 B"00010101", B"11101100", B"00011011", B"00001011", B"10111000",
1140
 B"11101111", B"11011001", B"00110011", B"00011100", B"00010011",
1141
 B"00010100", B"11010101", B"10111110", B"11001101", B"00010111",
1142
 B"00011010", B"11100000", B"11100111", B"11110011", B"00000111",
1143
 B"10110010", B"00010001", B"11110001", B"11000010", B"11001000",
1144
 B"11101001", B"00101000", B"11100101", B"00010101", B"11101011",
1145
 B"00101111", B"00010000", B"11001110", B"11101100", B"11111011",
1146
 B"11101101", B"10110010", B"00100011", B"11101101", B"00011011",
1147
 B"11000110", B"11011100", B"11101011", B"00101001", B"00000010",
1148
 B"01000001", B"11111110", B"00010110", B"00101100", B"00101001",
1149
 B"00111110", B"00110010", B"00010101", B"11111100", B"11001111",
1150
 B"11010100", B"00010000", B"00100000", B"11010111", B"00010101",
1151
 B"11000000", B"11001010", B"01010000", B"01001101", B"00010101",
1152
 B"11010010", B"00100010", B"11100000", B"00001011", B"11000000",
1153
 B"00001100", B"11011001", B"11001101", B"11101110", B"00010100",
1154
 B"00010001", B"00000101", B"00101000", B"11001001", B"11110110",
1155
 B"11011001", B"11011010", B"00100010", B"11010101", B"01010011",
1156
 B"00010111", B"11011110", B"00011011", B"11011000", B"11101001",
1157
 B"11101000", B"00001100", B"00001000", B"11011110", B"00100100",
1158
 B"00010101", B"11000110", B"00010100", B"11100100", B"11000010",
1159
 B"00010001", B"00011001", B"10100100", B"00101010", B"11111101",
1160
 B"11100010", B"10111001", B"00001100", B"00110100", B"11101100",
1161
 B"11111100", B"00010100", B"00000011", B"00101000", B"00101011",
1162
 B"01001101", B"00111110", B"11110010", B"11100110", B"00001101",
1163
 B"00110111", B"00011010", B"00101100", B"11011110", B"11001011",
1164
 B"00101011", B"11111010", B"11010010", B"11100001", B"11101101",
1165
 B"00010100", B"11011101", B"01000110", B"11110000", B"00001011",
1166
 B"00101110", B"11101001", B"00000100", B"11011101", B"11110001",
1167
 B"11000100", B"11100000", B"11101101", B"11100010", B"00110011",
1168
 B"01010010", B"11000111", B"00011000", B"11110001", B"11011011",
1169
 B"00011101", B"00011101", B"11101111", B"11111100", B"11101001",
1170
 B"11111001", B"11111011", B"11111000", B"00001000", B"11110001",
1171
 B"11011101", B"11010100", B"01001000", B"01010001", B"11001000",
1172
 B"11011110", B"00111110", B"10101000", B"00000011", B"11011011",
1173
 B"11011011", B"00101101", B"00011011", B"11110101", B"11111001",
1174
 B"11111100", B"10111110", B"00111011", B"00001111", B"11100010",
1175
 B"11001110", B"11001000", B"11011010", B"11101011", B"11011001",
1176
 B"00000100", B"11111101", B"10111000", B"00011111", B"00000001",
1177
 B"11110101", B"00100111", B"11100000", B"00100101", B"11001100",
1178
 B"11110100", B"01000011", B"01000100", B"11000110", B"00110110",
1179
 B"11110011", B"00000001", B"11010010", B"00000011", B"00100100",
1180
 B"11111010", B"10110101", B"00011110", B"00001111", B"11000010",
1181
 B"00000100", B"00011101", B"00010110", B"11010001", B"11011101",
1182
 B"11101110", B"01000001", B"00010011", B"11010111", B"00011001",
1183
 B"11010100", B"10111111", B"00111100", B"11010111", B"11111101",
1184
 B"11100101", B"00001101", B"00110111", B"11010111", B"10101000",
1185
 B"00000011", B"11101110", B"11110000", B"11101000", B"00011101",
1186
 B"00010100", B"11100101", B"11110010", B"11101100", B"00010111",
1187
 B"11011101", B"00110011", B"11011000", B"11110001", B"11110011",
1188
 B"00100000", B"11100011", B"11101110", B"11001111", B"11010100",
1189
 B"11110000", B"11001000", B"00101111", B"00100011", B"11011001",
1190
 B"00001010", B"11010010", B"11000111", B"00010000", B"00011101",
1191
 B"00101000", B"11101101", B"01000101", B"11110110", B"01001000",
1192
 B"11001111", B"11011101", B"00010110", B"00111011", B"11111101",
1193
 B"11110101", B"00100010", B"10101011", B"00000110", B"11011101",
1194
 B"11111000", B"00011100", B"10110010", B"10101001", B"00111001",
1195
 B"11011111", B"00110111", B"10111110", B"11011110", B"11111011",
1196
 B"11011001", B"00101010", B"00001101", B"00011101", B"11010011",
1197
 B"01011011", B"11110100", B"00101101", B"10100111", B"11001100",
1198
 B"00011011", B"00000000", B"00111101", B"11100110", B"11001101",
1199
 B"00011100", B"00011011", B"00110101", B"00001000", B"11001001",
1200
 B"01000010", B"11010101", B"00100001", B"11101000", B"11000100",
1201
 B"11001100", B"11000110", B"00110111", B"00101011", B"11100110",
1202
 B"00110010", B"11111000", B"00110100", B"11001100", B"00011001",
1203
 B"11011111", B"11001010", B"11010010", B"01010000", B"00111101",
1204
 B"00011111", B"11100000", B"00111111", B"01011000", B"11111100",
1205
 B"00010011", B"00100101", B"11100000", B"00011111", B"00010111",
1206
 B"11111101", B"11101000", B"00100001", B"11101011", B"00010010",
1207
 B"11011110", B"00010101", B"00100110", B"11100001", B"00101001",
1208
 B"00011100", B"11010001", B"11111110", B"00110001", B"11101100",
1209
 B"11111001", B"11010101", B"11100111", B"11101001", B"00100000",
1210
 B"11111100", B"11110111", B"00001101", B"00100000", B"00111111",
1211
 B"11010101", B"11010001", B"11100000", B"11011100", B"11001111",
1212
 B"11000100", B"00100111", B"00111000", B"11100010", B"11110100",
1213
 B"11001111", B"11010110", B"11110001", B"11100100", B"11100001",
1214
 B"11001101", B"00100001", B"00001101", B"11111110", B"00010101",
1215
 B"11011100", B"11001010", B"11011011", B"00000011", B"11010100",
1216
 B"00011001", B"11100111", B"11001110", B"00100001", B"00100111",
1217
 B"11111001", B"11111110", B"11101010", B"11100001", B"11010001",
1218
 B"00010001", B"00010100", B"11100111", B"11011011", B"00000000",
1219
 B"00101111", B"11000011", B"11110010", B"11010110", B"11000110",
1220
 B"00110101", B"00101101", B"00000111", B"11100011", B"00011101",
1221
 B"11011101", B"11111000", B"11100000", B"11011011", B"11100101",
1222
 B"11111101", B"11010000", B"00001011", B"11011111", B"11001001",
1223
 B"00010011", B"00011000", B"00111000", B"11101100", B"11011101",
1224
 B"00000001", B"11110101", B"11101110", B"00001111", B"00010000",
1225
 B"11000010", B"00001101", B"10101101", B"11011000", B"01001010",
1226
 B"11110100", B"00001000", B"00010011", B"11100110", B"11000110",
1227
 B"00111110", B"11011101", B"11010100", B"11010010", B"11111110",
1228
 B"00110001", B"00111110", B"00011101", B"11110010", B"00101001",
1229
 B"00000100", B"00011010", B"11010010", B"00010000", B"00001110",
1230
 B"11001001", B"11110010", B"00011011", B"11111001", B"11101010",
1231
 B"11100100", B"11010110", B"11111001", B"11010111", B"11101100",
1232
 B"00010111", B"00101111", B"11110101", B"11111011", B"11101111",
1233
 B"11011110", B"00001111", B"11101011", B"11001111", B"11101010",
1234
 B"11101010", B"00111110", B"11011001", B"11010101", B"00100011",
1235
 B"11000000", B"00010111", B"11110100", B"11010000", B"01000010",
1236
 B"00001100", B"11101001", B"11110001", B"11010000", B"00010111",
1237
 B"00000100", B"00101010", B"00010001", B"00000001", B"00101011",
1238
 B"00101100", B"00101000", B"00010001", B"00101110", B"00101101",
1239
 B"00101100", B"00010100", B"00011011", B"01000001", B"01010100",
1240
 B"11100001", B"00101010", B"11001110", B"00010011", B"11100100",
1241
 B"00001110", B"00111111", B"11001101", B"11111001", B"11100010",
1242
 B"00000000", B"11111011", B"11100011", B"11011011", B"11110100",
1243
 B"11111100", B"00011000", B"00110101", B"00100001", B"00000001",
1244
 B"11011001", B"00000100", B"00110010", B"01000001", B"00011000",
1245
 B"00100000", B"11101100", B"11100001", B"00110100", B"00001010",
1246
 B"11000100", B"00011001", B"11011110", B"10110011", B"11011011",
1247
 B"11101111", B"00001010", B"00110001", B"00010011", B"11001110",
1248
 B"00101011", B"11111110", B"00101000", B"11010101", B"11011000",
1249
 B"00000111", B"00111001", B"00011011", B"00100000", B"00011100",
1250
 B"11011011", B"11110010", B"11001100", B"00110011", B"11101010",
1251
 B"00001010", B"11000100", B"11110110", B"00101001", B"00011001",
1252
 B"11100001", B"10111011", B"11011100", B"11111101", B"00110001",
1253
 B"00000011", B"00110001", B"00011110", B"00011011", B"00100101",
1254
 B"11011111", B"11100111", B"00010100", B"00101011", B"11011000",
1255
 B"11101111", B"10111100", B"00100101", B"01000000", B"00000011",
1256
 B"11001101", B"00101011", B"11101010", B"00100000", B"00001000",
1257
 B"11001110", B"00001101", B"00110011", B"10110011", B"01001000",
1258
 B"11110011", B"11111010", B"11011111", B"11001100", B"00100000",
1259
 B"11110110", B"00100001", B"11100111", B"10101011", B"00010000",
1260
 B"01001000", B"11010010", B"00011111", B"00001110", B"00010000",
1261
 B"00001110", B"00111000", B"11101110", B"00011110", B"11110110",
1262
 B"00101100", B"00110010", B"11010010", B"00101100", B"00010011",
1263
 B"00000111", B"11111010", B"10101101", B"11100110", B"11100001",
1264
 B"11011101", B"00000011", B"11001110", B"00010100", B"11001010",
1265
 B"01100011", B"11110101", B"00000111", B"11001110", B"00100100",
1266
 B"00110101", B"11110000", B"11011110", B"11100110", B"11011110",
1267
 B"00101010", B"00111000", B"11111111", B"00111001", B"11101110",
1268
 B"11111101", B"11101100", B"00000111", B"11001011", B"11100100",
1269
 B"11111000", B"00010010", B"00000100", B"00111010", B"11010000",
1270
 B"01010010", B"11111011", B"11011110", B"11111000", B"00110001",
1271
 B"00101110", B"10111101", B"00111001", B"11000111", B"11011001",
1272
 B"11100011", B"00011010", B"00111011", B"11110111", B"00000011",
1273
 B"00011111", B"00011100", B"11010011", B"11010111", B"11011110",
1274
 B"10111110", B"00000110", B"00011111", B"00010000", B"00000110",
1275
 B"11100011", B"00101100", B"11101011", B"11110110", B"11101100",
1276
 B"00000111", B"11100110", B"10111111", B"11111100", B"11000100",
1277
 B"11111000", B"11001101", B"11100000", B"00110011", B"11010010",
1278
 B"11010111", B"00111010", B"00001010", B"11001001", B"11101100",
1279
 B"11100000", B"11110101", B"11010001", B"11101100", B"00000100",
1280
 B"11111110", B"00110110", B"00001011", B"00001000", B"00010000",
1281
 B"11010010", B"11010110", B"00101101", B"00101000", B"11101101",
1282
 B"00100111", B"11000111", B"11101001", B"11100010", B"00100101",
1283
 B"00001000", B"00001100", B"00011100", B"11011111", B"00100110",
1284
 B"00001100", B"11101111", B"00100000", B"00101100", B"01001001",
1285
 B"00100100", B"11010101", B"00001000", B"11000110", B"00011010",
1286
 B"11000000", B"11011100", B"11101010", B"00010110", B"00000110",
1287
 B"10111100", B"11100011", B"00100101", B"00011100", B"11111111",
1288
 B"11111101", B"00111100", B"11011010", B"00110011", B"11101001",
1289
 B"00111001", B"11110101", B"00001100", B"11111000", B"11111011",
1290
 B"01001111", B"00011110", B"10111011", B"10111111", B"00110010",
1291
 B"01000011", B"00001010", B"00101001", B"11101000", B"11011110",
1292
 B"11001000", B"00000010", B"01001010", B"11101111", B"11001001",
1293
 B"11010111", B"11010010", B"00000010", B"00101000", B"10110100",
1294
 B"00100001", B"11011011", B"00001101", B"11111001", B"00000001",
1295
 B"00110001", B"00110001", B"00010011", B"00001001", B"00101101",
1296
 B"00001111", B"00000001", B"11001100", B"00011111", B"00111101",
1297
 B"00101101", B"00000011", B"00100110", B"00101010", B"11011110",
1298
 B"00000011", B"00101100", B"00101101", B"00111001", B"11100010",
1299
 B"11100000", B"00100110", B"00111010", B"11011000", B"11100000",
1300
 B"11011100", B"00100111", B"00101101", B"11100001", B"00101101",
1301
 B"11101110", B"11000011", B"11010011", B"00010110", B"00000001",
1302
 B"11101101", B"00101000", B"00011110", B"11001111", B"11000100",
1303
 B"00111111", B"00011001", B"00010011", B"00000000", B"00111011",
1304
 B"11100110", B"00000001", B"11100001", B"00011100", B"11110110",
1305
 B"11001100", B"10111001", B"00000011", B"11100001", B"00000011",
1306
 B"11011111", B"00111001", B"10111110", B"11101010", B"11010011",
1307
 B"11110000", B"11110010", B"00000011", B"00011000", B"00110011",
1308
 B"00001001", B"11010110", B"00011001", B"00100001", B"11110101",
1309
 B"00101110", B"00110100", B"11101011", B"00101111", B"00011101",
1310
 B"11110100", B"11110100", B"00010111", B"10111011", B"01000100",
1311
 B"11100111", B"01000111", B"00011111", B"11111111", B"00010010",
1312
 B"00001011", B"00001001", B"00100110", B"01001010", B"11100001",
1313
 B"00100010", B"00010010", B"00001010", B"11101000", B"11001011",
1314
 B"11101101", B"11010101", B"11001011", B"11110100", B"00111110",
1315
 B"00101110", B"00101110", B"00011011", B"11100101", B"11100010",
1316
 B"11111101", B"00101001", B"11010101", B"00010110", B"00000111",
1317
 B"00001110", B"11010101", B"00110000", B"11100000", B"00000111",
1318
 B"11101000", B"00010101", B"11111011", B"11110101", B"00011110",
1319
 B"00001010", B"00101010", B"11100111", B"00110101", B"00100110",
1320
 B"11100000", B"00011110", B"00111001", B"11111110", B"00010100",
1321
 B"11110000", B"11110100", B"00110110", B"11101110", B"11001101",
1322
 B"11001001", B"11011000", B"00100111", B"00011000", B"11101111",
1323
 B"00011111", B"01000010", B"11011101", B"00000111", B"00101010",
1324
 B"00011000", B"01000111", B"00110101", B"11101000", B"00110101",
1325
 B"11010110", B"00100100", B"11101111", B"11011001", B"00000010",
1326
 B"11110100", B"11001111", B"00100011", B"11111111", B"00000111",
1327
 B"00010000", B"00100010", B"11100000", B"00100001", B"00101011",
1328
 B"11001110", B"00100010", B"00111011", B"11100111", B"00111011",
1329
 B"00010000", B"00100100", B"11000110", B"00100101", B"11000000",
1330
 B"00111101", B"11001001", B"00010111", B"11011101", B"00111111",
1331
 B"11100001", B"11110111", B"11010000", B"00100000", B"01001110",
1332
 B"01000010", B"00111111", B"00011100", B"11000011", B"00100010",
1333
 B"11001100", B"00101000", B"11001010", B"00101001", B"00010101",
1334
 B"11000010", B"00011110", B"00000111", B"11101000", B"11001101",
1335
 B"00000001", B"11100110", B"11111001", B"11101111", B"11100010",
1336
 B"11111101", B"00101111", B"11001010", B"00000001", B"01000001",
1337
 B"00101000", B"11001010", B"01011110", B"00001000", B"11100001",
1338
 B"11011110", B"00110110", B"11010010", B"10110011", B"10100100",
1339
 B"00001100", B"00101011", B"11000110", B"00001100", B"11111010",
1340
 B"00100100", B"11100100", B"00011101", B"00011101", B"11000011",
1341
 B"00111111", B"00110000", B"11101101", B"00110000", B"00100001",
1342
 B"00101001", B"10111110", B"00100111", B"11011001", B"00111001",
1343
 B"11110000", B"11100011", B"11011010", B"01000010", B"00110000",
1344
 B"01000001", B"00100100", B"11100110", B"11100001", B"00000001",
1345
 B"11011010", B"11100001", B"00000010", B"11110101", B"00011111",
1346
 B"11010001", B"01001001", B"00001001", B"11110001", B"00101000",
1347
 B"00000001", B"00100001", B"00110101", B"00001100", B"11101001",
1348
 B"11001101", B"00100110", B"11011001", B"00010101", B"11101100",
1349
 B"11011100", B"00010111", B"00101010", B"00101110", B"00100110",
1350
 B"11100110", B"11011010", B"00010110", B"00010000", B"11100000",
1351
 B"11100000", B"11101010", B"00001000", B"11011101", B"00111010",
1352
 B"11011110", B"11100101", B"00101110", B"00010001", B"11010110",
1353
 B"11100000", B"11101010", B"00101111", B"00101001", B"11101110",
1354
 B"00011111", B"11011010", B"00101110", B"11010101", B"01001010",
1355
 B"00111101", B"11001101", B"00111100", B"00101001", B"00000101",
1356
 B"00100010", B"11101011", B"01000011", B"10110101", B"11001100",
1357
 B"10111010", B"00100011", B"00110010", B"00000011", B"00111001",
1358
 B"00001111", B"11001001", B"00100011", B"11010111", B"00010011",
1359
 B"10111101", B"00110111", B"00110001", B"01000000", B"01000000",
1360
 B"00001110", B"00110000", B"00110000", B"00111000", B"00010110",
1361
 B"11010010", B"00001001", B"00101000", B"11100100", B"00101011",
1362
 B"11110110", B"11011100", B"10111110", B"00100111", B"00001010",
1363
 B"00110100", B"11101101", B"00110000", B"11100010", B"00001001",
1364
 B"11011101", B"00111010", B"00101101", B"11100111", B"00001111",
1365
 B"11101000", B"00001010", B"11101111", B"00010101", B"01001110",
1366
 B"11101100", B"00100000", B"00101001", B"11001011", B"11101000",
1367
 B"00101111", B"11101111", B"11011110", B"00101110", B"00011011",
1368
 B"11010111", B"11111101", B"00011010", B"11110011", B"01000100",
1369
 B"00011010", B"01001001", B"00000001", B"01000101", B"00100100",
1370
 B"00110000", B"00010100", B"00001011", B"00000111", B"11001011",
1371
 B"11011010", B"00111000", B"00010100", B"11111110", B"11110111",
1372
 B"11011110", B"11001100", B"11010111", B"11000000", B"01001111",
1373
 B"00100010", B"01000110", B"00110111", B"11011011", B"00101100",
1374
 B"11011110", B"00011010", B"00000000", B"11101100", B"00100011",
1375
 B"00110110", B"00010001", B"00011110", B"10101111", B"00011010",
1376
 B"00111010", B"11010110", B"11110001", B"00001111", B"00111001",
1377
 B"11110000", B"11010010", B"00100011", B"11100101", B"10111111",
1378
 B"11111110", B"11010000", B"00011101", B"00011100", B"11101101",
1379
 B"11011110", B"10111010", B"00011000", B"10111111", B"00100011",
1380
 B"11111001", B"00010010", B"10110100", B"00110111", B"01000101",
1381
 B"11101010", B"01000010", B"11011000", B"00011110", B"11100101",
1382
 B"01001010", B"11101001", B"11100011", B"11000011", B"00110101",
1383
 B"00011110", B"11011111", B"11111011", B"11011001", B"00011110",
1384
 B"00110000", B"00100111", B"00100000", B"00001111", B"00110000",
1385
 B"11001011", B"11101010", B"00011111", B"11001010", B"11100000",
1386
 B"11001100", B"11000010", B"00011100", B"00010111", B"11101111",
1387
 B"00110000", B"00011011", B"11110100", B"00000011", B"01000001",
1388
 B"00100111", B"00000101", B"11001110", B"11100010", B"00110100",
1389
 B"00110011", B"11010110", B"11101110", B"11010011", B"11101010",
1390
 B"00001110", B"11101000", B"00101100", B"10111110", B"11000001",
1391
 B"00101001", B"00101101", B"00100000", B"00100011", B"11110000",
1392
 B"00100001", B"10111001", B"01001100", B"11011100", B"11101010",
1393
 B"11110101", B"00000101", B"00110110", B"11100000", B"01001110",
1394
 B"11101110", B"00110001", B"11110011", B"11001100", B"00101010",
1395
 B"10101100", B"00100011", B"11110100", B"11011011", B"00100011",
1396
 B"00111111", B"00000010", B"00100011", B"11000000", B"00001101",
1397
 B"10111010", B"00010010", B"11010111", B"00000001", B"11101001",
1398
 B"11100100", B"11001110", B"00011000", B"00101010", B"11111111",
1399
 B"00011111", B"11110100", B"11001110", B"11110011", B"01010001",
1400
 B"00011011", B"10101100", B"00011110", B"10110010", B"00001011",
1401
 B"11110001", B"10101100", B"11010010", B"00101101", B"00011000",
1402
 B"00001110", B"00110001", B"11010100", B"00010110", B"11111010",
1403
 B"11010110", B"11101100", B"00111001", B"00111000", B"10111110",
1404
 B"11101011", B"00001011", B"11111011", B"00001110", B"11111011",
1405
 B"00011110", B"11010000", B"00000100", B"00101000", B"00100010",
1406
 B"00100011", B"00001110", B"00011011", B"11010101", B"01000011",
1407
 B"11101101", B"00010111", B"00111000", B"00000011", B"11110100",
1408
 B"00010000", B"11010100", B"00011101", B"11110001", B"11010111",
1409
 B"11001011", B"11100011", B"11111001", B"00010001", B"00000110",
1410
 B"00110100", B"00100110", B"00010011", B"00010000", B"00011001",
1411
 B"00011100", B"00010010", B"00100011", B"00110110", B"00100100",
1412
 B"11011100", B"00001101", B"00011001", B"00111010", B"11100000",
1413
 B"00110001", B"11000111", B"00000111", B"00100100", B"00110001",
1414
 B"00100010", B"00100111", B"00100000", B"00111000", B"00100110",
1415
 B"00001001", B"11011010", B"11001100", B"11010011", B"11011001",
1416
 B"00101110", B"00001001", B"00011110", B"00110001", B"11010101",
1417
 B"11101001", B"11010011", B"00011110", B"00011010", B"00000011",
1418
 B"00100110", B"11001010", B"00001110", B"00100100", B"11100001",
1419
 B"11010011", B"11101101", B"00011110", B"11001100", B"11010111",
1420
 B"00101101", B"11011100", B"11001010", B"00100100", B"11110001",
1421
 B"11011111", B"11100101", B"11100101", B"11101111", B"00000101",
1422
 B"01010110", B"00101011", B"11011011", B"00101000", B"11000101",
1423
 B"00101110", B"00100001", B"11101000", B"00010110", B"11010100",
1424
 B"11001100", B"11101010", B"00010111", B"00010100", B"00011110",
1425
 B"00001010", B"00000110", B"00110000", B"11101100", B"00111100",
1426
 B"01000010", B"11100000", B"11101000", B"00101000", B"00000110",
1427
 B"11111111", B"11011101", B"00010101", B"11010000", B"00100011",
1428
 B"00101000", B"01001101", B"00001110", B"11100010", B"00100101",
1429
 B"11100011", B"00001001", B"11001101", B"00101000", B"00011110",
1430
 B"00000100", B"00000110", B"00100111", B"11010001", B"00000101",
1431
 B"11110010", B"11100110", B"00010101", B"00100001", B"11011111",
1432
 B"11100100", B"10111100", B"11001110", B"11000110", B"00010000",
1433
 B"11010010", B"00100010", B"00111101", B"11110011", B"00000111",
1434
 B"00100111", B"00010011", B"10111100", B"00011100", B"00011001",
1435
 B"01000011", B"10111101", B"00100001", B"11101010", B"00100100",
1436
 B"11101100", B"11110010", B"00111011", B"11000100", B"00000110",
1437
 B"10111011", B"00000010", B"00000001", B"00000010", B"11011000",
1438
 B"00100000", B"00100100", B"00010100", B"01000011", B"11000100",
1439
 B"11111001", B"00011101", B"00011001", B"00101011", B"00101111",
1440
 B"00001111", B"00010100", B"00110010", B"00101000", B"11001100",
1441
 B"11100000", B"00010000", B"10110110", B"01001101", B"10111110",
1442
 B"10111011", B"00101010", B"10111101", B"00011001", B"11010010",
1443
 B"00000010", B"00110000", B"00111100", B"00101111", B"11111011",
1444
 B"10110111", B"00001010", B"00001111", B"10110110", B"11001111",
1445
 B"00011011", B"01000010", B"10110000", B"11101110", B"00011001",
1446
 B"11110111", B"00010110", B"11110111", B"01001000", B"00110010",
1447
 B"11110000", B"11101011", B"11101011", B"11111000", B"00000010",
1448
 B"00110000", B"00100000", B"00011101", B"11011100", B"00001111",
1449
 B"11101010", B"11011110", B"00011101", B"00100110", B"00010101",
1450
 B"11010000", B"11100111", B"00010110", B"11110011", B"11011011",
1451
 B"00101001", B"00110110", B"11100000", B"11100011", B"00001011",
1452
 B"01000110", B"11110011", B"11010001", B"11100011", B"11010011",
1453
 B"00001010", B"10011100", B"00001100", B"00001101", B"00111001",
1454
 B"00110110", B"00010100", B"00011000", B"00010010", B"00011001",
1455
 B"00011110", B"00110001", B"00100011", B"00101110", B"00111001",
1456
 B"00110011", B"00111110", B"00000000", B"00111000", B"11011110",
1457
 B"11001100", B"00100000", B"11001111", B"01010011", B"11100010",
1458
 B"11001101", B"00111001", B"11010000", B"11011101", B"00111110",
1459
 B"11100101", B"00010100", B"11001100", B"11100000", B"00001001",
1460
 B"00000001", B"00100011", B"11111010", B"01010011", B"00000000",
1461
 B"11010110", B"11111111", B"00100100", B"00010111", B"00101101",
1462
 B"11111110", B"00100000", B"00011011", B"00010000", B"00101110",
1463
 B"00100110", B"11010000", B"00010101", B"00010111", B"00111000",
1464
 B"11111011", B"00000101", B"11000010", B"00111100", B"01001011",
1465
 B"11100001", B"01001000", B"00110110", B"11011010", B"00010101",
1466
 B"00101011", B"11111001", B"11010011", B"01000010", B"00100101",
1467
 B"11010000", B"11000111", B"11011110", B"10111100", B"00001110",
1468
 B"11110000", B"00000110", B"00010011", B"11011011", B"11111110",
1469
 B"11100100", B"11110110", B"11000001", B"11111000", B"11010110",
1470
 B"11100011", B"11111101", B"00100100", B"00011100", B"00011110",
1471
 B"00101011", B"11100110", B"00000100", B"00011011", B"11011100",
1472
 B"11100010", B"10110110", B"11110000", B"11101010", B"00011110",
1473
 B"00100100", B"11111100", B"10110110", B"00011011", B"11100110",
1474
 B"00011100", B"11011110", B"11011010", B"11101101", B"11011000",
1475
 B"00101110", B"00011110", B"10111101", B"00110100", B"00000100",
1476
 B"10110011", B"11001100", B"11101010", B"11111000", B"01000001",
1477
 B"11011100", B"00010011", B"11001101", B"11010100", B"10111100",
1478
 B"11010001", B"11100100", B"00101010", B"00010001", B"00011011",
1479
 B"00101101", B"01001111", B"11100001", B"10100001", B"11011111",
1480
 B"11101111", B"00111010", B"11011111", B"00011011", B"10110011",
1481
 B"00111100", B"11110111", B"11010010", B"11100010", B"11001111",
1482
 B"00010101", B"00110110", B"00110110", B"00110001", B"11111110",
1483
 B"00110010", B"00100110", B"00101111", B"11111100", B"00100100",
1484
 B"11110111", B"10111111", B"00100010", B"01000001", B"11110011",
1485
 B"00110011", B"11101101", B"11100100", B"00010011", B"11100011",
1486
 B"11101001", B"11101010", B"11100011", B"00100100", B"11101011",
1487
 B"01011011", B"11110111", B"11011011", B"11000000", B"00010101",
1488
 B"00101111", B"11111111", B"00101000", B"11010001", B"11100100",
1489
 B"00010110", B"11001000", B"00001101", B"11010001", B"11111100",
1490
 B"00110010", B"00110011", B"00100001", B"11011111", B"00100101",
1491
 B"11100010", B"11100011", B"00100000", B"00011001", B"11110010",
1492
 B"00110111", B"11001100", B"00000101", B"00111011", B"10110010",
1493
 B"00010111", B"01000000", B"11100011", B"01010000", B"00111010",
1494
 B"11101011", B"00101001", B"11011010", B"00000000", B"10111110",
1495
 B"00001101", B"11101010", B"11000111", B"00111001", B"00010001",
1496
 B"00010101", B"11011101", B"10111111", B"00100010", B"00100011",
1497
 B"00011101", B"00001100", B"00100111", B"00011100", B"01000100",
1498
 B"00000011", B"00010011", B"11001100", B"10111011", B"00001010",
1499
 B"00100101", B"00101111", B"11110110", B"11001100", B"10101100",
1500
 B"00101000", B"00000001", B"11110101", B"11001010", B"00001110",
1501
 B"00110100", B"00011010", B"11101011", B"11010100", B"11100100",
1502
 B"00100111", B"00000011", B"00010011", B"01000101", B"00000001",
1503
 B"11010010", B"01010111", B"00100110", B"11000010", B"00000011",
1504
 B"00100000", B"00100001", B"11010011", B"11101011", B"00000010",
1505
 B"00010111", B"10111010", B"00001000", B"00000101", B"11100110",
1506
 B"11011111", B"00110111", B"11110011", B"00001010", B"00101001",
1507
 B"11110101", B"11011010", B"11100011", B"11010010", B"00010001",
1508
 B"00001111", B"00100111", B"11101001", B"00011000", B"00011010",
1509
 B"11111111", B"11010001", B"00011110", B"11111110", B"00100100",
1510
 B"00001001", B"11101000", B"11101110", B"00110010", B"00100000",
1511
 B"11100010", B"00001010", B"11000101", B"11011001", B"00011011",
1512
 B"11101100", B"10110100", B"11101101", B"10110110", B"10100010",
1513
 B"00010101", B"11011010", B"00010110", B"11100101", B"11100001",
1514
 B"00001011", B"01000000", B"11111000", B"00100110", B"11011001",
1515
 B"11111000", B"11001010", B"00101110", B"00011000", B"11001111",
1516
 B"11100100", B"11011010", B"11111001", B"00000111", B"00001111",
1517
 B"11110000", B"00010100", B"11011011", B"00010111", B"00010010",
1518
 B"00101001", B"10101010", B"00010010", B"11010010", B"00110111",
1519
 B"11110001", B"00110111", B"11111111", B"10100101", B"00110110",
1520
 B"11001111", B"11100111", B"11100010", B"11001100", B"11011100",
1521
 B"00000010", B"00100010", B"11000010", B"11110111", B"10101101",
1522
 B"11010111", B"11011101", B"00010110", B"00000000", B"00101001",
1523
 B"00001110", B"00100101", B"11111010", B"00100001", B"00001011",
1524
 B"10111001", B"11100000", B"11111101", B"11011100", B"11111100",
1525
 B"00001001", B"00100100", B"00000000", B"00110100", B"11011110",
1526
 B"00111010", B"00111001", B"11011101", B"00010100", B"00111011",
1527
 B"11101010", B"00101010", B"11100100", B"00011100", B"00011010",
1528
 B"11110110", B"01000101", B"00100101", B"11110110", B"00010110",
1529
 B"00001100", B"11101010", B"11111100", B"11111111", B"00101110",
1530
 B"11010001", B"11100000", B"11001110", B"10111111", B"00010011",
1531
 B"11111010", B"00101010", B"11100001", B"11100101", B"11111001",
1532
 B"00010101", B"11010110", B"11100011", B"00000101", B"00100111",
1533
 B"11111001", B"11110010", B"11010110", B"11010010", B"10100000",
1534
 B"00101111", B"11001000", B"00110011", B"11111000", B"11101010",
1535
 B"00011011", B"00001100", B"11100010", B"00100010", B"11111110",
1536
 B"11111110", B"11110010", B"00100011", B"00110001", B"00011111",
1537
 B"11110000", B"00111111", B"11010101", B"00100110", B"11010011",
1538
 B"01001000", B"00010110", B"11100011", B"11101000", B"00010111",
1539
 B"11101101", B"00100100", B"00001001", B"11010111", B"11010110",
1540
 B"11100110", B"11111000", B"00001110", B"11101001", B"00001101",
1541
 B"11010110", B"00001000", B"01101110", B"00011111", B"11100111",
1542
 B"00100100", B"01000111", B"11100000", B"00001110", B"00101111",
1543
 B"11101110", B"00010010", B"00010110", B"00000101", B"11001111",
1544
 B"00110011", B"11101011", B"00101000", B"10111011", B"11000110",
1545
 B"11011000", B"11100000", B"00011110", B"00011111", B"11101101",
1546
 B"00010011", B"11110011", B"00001011", B"00001110", B"11011011",
1547
 B"11101100", B"00010001", B"00100011", B"11001110", B"11000110",
1548
 B"11110000", B"11001100", B"00110001", B"11100001", B"00010101",
1549
 B"11100010", B"10110001", B"00110001", B"11101001", B"11010001",
1550
 B"01100011", B"10111010", B"00110010", B"11001101", B"11100101",
1551
 B"00001001", B"11111011", B"00011001", B"00100111", B"00111110",
1552
 B"00100110", B"00010000", B"00001101", B"01000111", B"11010101",
1553
 B"11101100", B"10110110", B"00010011", B"00101111", B"11010100",
1554
 B"00000110", B"10101000", B"00101000", B"00110111", B"11000011",
1555
 B"00001010", B"00001100", B"10100001", B"11011111", B"00001100",
1556
 B"11101101", B"00011111", B"11101111", B"11001101", B"11100111",
1557
 B"11001000", B"10110111", B"11101000", B"00100000", B"11110000",
1558
 B"11111101", B"00011011", B"11100100", B"11100101", B"11101010",
1559
 B"11100110", B"11010101", B"00011101", B"00100100", B"00001000",
1560
 B"11110011", B"00010001", B"11011101", B"00100101", B"00010111",
1561
 B"00010100", B"11101101", B"00010001", B"00100111", B"00011011",
1562
 B"00100010", B"11111110", B"11110110", B"00010101", B"00001110",
1563
 B"00101101", B"11110111", B"00000010", B"11110111", B"00011010",
1564
 B"10111001", B"01101000", B"11001111", B"00111011", B"11110111",
1565
 B"11011101", B"00010100", B"01010000", B"11010101", B"00100110",
1566
 B"11101011", B"11010101", B"11011101", B"01001011", B"00011101",
1567
 B"11010000", B"11001011", B"01011101", B"00011010", B"00101110",
1568
 B"11001000", B"01001001", B"11010000", B"00011011", B"00010010",
1569
 B"11110000", B"11100111", B"00010111", B"11100111", B"11011010",
1570
 B"11100011", B"10111011", B"00011101", B"00101000", B"11110101",
1571
 B"00101100", B"00100000", B"11110111", B"11011011", B"00001010",
1572
 B"11000100", B"01000101", B"11100011", B"11110011", B"11011000",
1573
 B"11011001", B"00100010", B"00001010", B"11010101", B"11100010",
1574
 B"00000101", B"11010110", B"01000001", B"00100100", B"00100011",
1575
 B"00110011", B"10111111", B"00011110", B"00101000", B"00100001",
1576
 B"11001101", B"00110011", B"11100110", B"00001011", B"00010111",
1577
 B"01000110", B"11101010", B"10111000", B"00101110", B"00101011",
1578
 B"11101010", B"11010110", B"11101111", B"00110001", B"11010101",
1579
 B"00100101", B"11110100", B"11111101", B"01000010", B"00000100",
1580
 B"00001100", B"00000000", B"00110010", B"00100011", B"01000000",
1581
 B"01001001", B"00111001", B"00001111", B"00101111", B"00110010",
1582
 B"11011110", B"00100111", B"00110100", B"11111101", B"11001101",
1583
 B"00101100", B"11110010", B"00011000", B"11110001", B"11110000",
1584
 B"11101111", B"00101011", B"01000100", B"11010011", B"11001000",
1585
 B"11101011", B"11011000", B"01001011", B"11111100", B"11010101",
1586
 B"00001101", B"11010110", B"11010110", B"00011110", B"00100001",
1587
 B"00001011", B"11010010", B"00000011", B"10111100", B"01000010",
1588
 B"00011010", B"11111100", B"11000100", B"11000101", B"00111110",
1589
 B"00101011", B"11100000", B"10110111", B"00101001", B"00010111",
1590
 B"00010111", B"00111010", B"11110001", B"00110101", B"00100001",
1591
 B"00000011", B"00011010", B"11100001", B"00101110", B"11011010",
1592
 B"11101001", B"11001101", B"00100001", B"00101000", B"10101110",
1593
 B"00101001", B"00010000", B"11100101", B"11100010", B"11010111",
1594
 B"00010010", B"11001011", B"00101000", B"11001010", B"00011011",
1595
 B"00101010", B"11100100", B"00110110", B"11111110", B"11110010",
1596
 B"00000000", B"10101111", B"11010101", B"11101010", B"11001110",
1597
 B"00101100", B"11000010", B"01000011", B"11101111", B"11011001",
1598
 B"10111111", B"11101010", B"00101100", B"11001101", B"11110011",
1599
 B"10111011", B"00011110", B"11001010", B"11010111", B"11001000",
1600
 B"11011000", B"00100000", B"10110001", B"00000010", B"00001001",
1601
 B"00100001", B"11010100", B"11110100", B"00001000", B"00001010",
1602
 B"11100100", B"11010011", B"00001010", B"11111101", B"11101110",
1603
 B"11101110", B"11010000", B"00000111", B"11110110", B"00111110",
1604
 B"00001101", B"11110001", B"00011100", B"00011110", B"00000010",
1605
 B"00010110", B"00110001", B"11011101", B"11010011", B"00010000",
1606
 B"00101110", B"00111110", B"00010001", B"11111000", B"11101110",
1607
 B"11101010", B"11010101", B"00011111", B"11100101", B"00100110",
1608
 B"11000100", B"00000110", B"00011000", B"00011100", B"11110010",
1609
 B"11001010", B"11101101", B"00111101", B"11000011", B"10110111",
1610
 B"11011110", B"11100010", B"11010011", B"00111110", B"11110011",
1611
 B"00001101", B"11101100", B"00011100", B"00011001", B"11000101",
1612
 B"11000100", B"11100001", B"11001111", B"11110000", B"00111001",
1613
 B"00101010", B"00010101", B"00101111", B"11101001", B"11111111",
1614
 B"11101100", B"00010100", B"00010111", B"11100000", B"00010110",
1615
 B"11010011", B"11101101", B"00110011", B"11000101", B"11010111",
1616
 B"11101010", B"00101101", B"00101011", B"11011001", B"11111111",
1617
 B"11000100", B"11111110", B"11010010", B"11110001", B"00001011",
1618
 B"11101010", B"00000010", B"00000101", B"11110110", B"00001010",
1619
 B"00101010", B"00100011", B"11100010", B"00010011", B"11010110",
1620
 B"00100110", B"00100101", B"11011000", B"11100110", B"00010011",
1621
 B"00101110", B"11100101", B"11111111", B"00101111", B"00010010",
1622
 B"11100010", B"11100110", B"00000101", B"00111101", B"11110000",
1623
 B"11110110", B"00010011", B"00001011", B"11001010", B"11011100",
1624
 B"00101000", B"00001100", B"11010010", B"11111111", B"00100001",
1625
 B"00101010", B"00001111", B"11011011", B"00101101", B"11011100",
1626
 B"00111000", B"11001010", B"01001100", B"00000101", B"11100000",
1627
 B"00011010", B"11110000", B"11001101", B"11110110", B"11100100",
1628
 B"11010000", B"00001000", B"10101011", B"00011100", B"00101011",
1629
 B"10111100", B"00100110", B"11110111", B"11100101", B"00000100",
1630
 B"00110100", B"01000001", B"10111100", B"11110101", B"11001111",
1631
 B"00011110", B"00101010", B"00001110", B"00001010", B"00110001",
1632
 B"00011001", B"00011111", B"01100010", B"00010010", B"11001111",
1633
 B"11001100", B"00110001", B"00000111", B"00010100", B"00011100",
1634
 B"11110000", B"11010010", B"00001011", B"00101111", B"00011100",
1635
 B"11100011", B"00011011", B"11110000", B"00011010", B"11010001",
1636
 B"00101001", B"11011010", B"00011100", B"00101100", B"11000000",
1637
 B"00000110", B"00011111", B"11000110", B"00000000", B"00011011",
1638
 B"00000010", B"11100100", B"00100100", B"11001111", B"00001000",
1639
 B"11010111", B"00001011", B"00110101", B"11000111", B"00011100",
1640
 B"00011000", B"10111000", B"11011010", B"00001001", B"11010110",
1641
 B"00111000", B"00110101", B"11101111", B"11111111", B"11110101",
1642
 B"11011110", B"11101001", B"00011100", B"00010100", B"11010101",
1643
 B"11111111", B"00111010", B"00000111", B"11110111", B"11011010",
1644
 B"00010100", B"11011000", B"01001010", B"00101001", B"11100010",
1645
 B"00000110", B"00011110", B"11010110", B"00000110", B"01000101",
1646
 B"11010101", B"11111001", B"11111010", B"00010111", B"11011111",
1647
 B"11100000", B"00001010", B"11111001", B"11000001", B"11010001",
1648
 B"11111101", B"00100101", B"11000000", B"11110001", B"00101101",
1649
 B"11111000", B"11100010", B"11011000", B"11001010", B"00101011",
1650
 B"11101000", B"01011100", B"11011100", B"00010110", B"00111101",
1651
 B"11110100", B"00101010", B"11001000", B"11101110", B"11011100",
1652
 B"11101010", B"00000000", B"11010110", B"11011000", B"00010011",
1653
 B"00110001", B"00001011", B"00110100", B"11111111", B"11011010",
1654
 B"00101101", B"11001110", B"00000100", B"11001110", B"11101110",
1655
 B"00111101", B"01001000", B"00110111", B"00000100", B"00101111",
1656
 B"00011101", B"11111001", B"00100011", B"00101100", B"00011101",
1657
 B"00010110", B"00011101", B"00000100", B"00101001", B"00011101",
1658
 B"11110010", B"00100000", B"11101110", B"00011111", B"11110110",
1659
 B"00000011", B"11000000", B"11101011", B"11100110", B"00101010",
1660
 B"00110100", B"00001001", B"00100110", B"00010110", B"00010001",
1661
 B"00001011", B"00010111", B"00111101", B"00010101", B"11111110",
1662
 B"11010110", B"01000010", B"11110011", B"11101101", B"11001110",
1663
 B"11001011", B"11110101", B"11111000", B"11011100", B"01011001",
1664
 B"11010010", B"11100110", B"11101000", B"11100110", B"00011111",
1665
 B"01001001", B"00101011", B"11011101", B"00011010", B"11010110",
1666
 B"00011001", B"11001001", B"11001001", B"00000011", B"00110101",
1667
 B"00101010", B"00001111", B"00010010", B"11011010", B"11101010",
1668
 B"11010001", B"11010110", B"11100000", B"11011011", B"00000001",
1669
 B"00011100", B"00001100", B"00101101", B"11110100", B"11111011",
1670
 B"00001011", B"00110100", B"00010100", B"00010001", B"11100110",
1671
 B"11101100", B"00111000", B"00010011", B"00010011", B"00011100",
1672
 B"00010110", B"00101001", B"00100110", B"00000011", B"10111100",
1673
 B"00001000", B"00100100", B"11100001", B"11110111", B"10101101",
1674
 B"11100011", B"11101011", B"11011010", B"11100101", B"00100001",
1675
 B"11101011", B"00110010", B"10110010", B"11001000", B"00100101",
1676
 B"00100011", B"00001101", B"00111111", B"00000000", B"00010110",
1677
 B"11011010", B"00100001", B"11100001", B"11000100", B"00100101",
1678
 B"01001010", B"11010011", B"00001001", B"11101011", B"11100111",
1679
 B"11101001", B"00001100", B"11010111", B"00101011", B"10101001",
1680
 B"11100011", B"11100000", B"00100001", B"00001101", B"00100111",
1681
 B"11010110", B"01000111", B"11011111", B"11011010", B"11101011",
1682
 B"00011101", B"00110000", B"00010011", B"11101010", B"00010010",
1683
 B"11110110", B"11011110", B"11011011", B"00100001", B"00011110",
1684
 B"11011101", B"00011110", B"00100101", B"00010001", B"11010100",
1685
 B"00110011", B"11110001", B"00101011", B"00110010", B"11011001",
1686
 B"00010001", B"11011001", B"11010110", B"11110000", B"11100111",
1687
 B"00100001", B"00100101", B"00010101", B"00110111", B"11010101",
1688
 B"00011100", B"10110011", B"00101010", B"11011110", B"11001000",
1689
 B"11110101", B"00101101", B"01001011", B"00001100", B"00111110",
1690
 B"00001010", B"11100000", B"11011110", B"11000111", B"11111010",
1691
 B"11011111", B"01000111", B"00001010", B"00001001", B"00001001",
1692
 B"10110010", B"10111000", B"11111110", B"11101110", B"00010010",
1693
 B"01000000", B"00100100", B"00100111", B"11001111", B"00100110",
1694
 B"00000010", B"00010100", B"11001110", B"00100110", B"00000011",
1695
 B"00101111", B"00010000", B"11011110", B"11111001", B"00100101",
1696
 B"00110111", B"11011010", B"00011010", B"10110010", B"11011010",
1697
 B"00110110", B"00010101", B"00100001", B"11111010", B"00001100",
1698
 B"11110101", B"11011000", B"00100010", B"11100000", B"00010111",
1699
 B"11100101", B"11011010", B"11010001", B"00000100", B"11111001",
1700
 B"00110010", B"00101000", B"11010111", B"11000010", B"00000001",
1701
 B"00000111", B"11100100", B"11000111", B"11011000", B"11011111",
1702
 B"11100100", B"11101111", B"00010000", B"00101101", B"00010011",
1703
 B"00001001", B"00000110", B"11010010", B"11011101", B"00011000",
1704
 B"11110001", B"10110111", B"11010001", B"11101100", B"11011011",
1705
 B"00100001", B"00101110", B"11111110", B"11011101", B"11011110",
1706
 B"11100000", B"11000100", B"11001010", B"11101100", B"11111110",
1707
 B"00101101", B"11011001", B"11101000", B"01000110", B"00000111",
1708
 B"11111111", B"00011010", B"11010000", B"11001101", B"00100100",
1709
 B"00111000", B"11111010", B"11011010", B"11011000", B"11011000",
1710
 B"11101010", B"11011100", B"00011010", B"10111010", B"11001100",
1711
 B"00001100", B"00001111", B"00100001", B"11010011", B"11000001",
1712
 B"11000111", B"00001101", B"00001011", B"11110010", B"00010011",
1713
 B"00100011", B"11100011", B"00000001", B"00111100", B"11111110",
1714
 B"00000010", B"00100110", B"10110010", B"00001110", B"00101001",
1715
 B"00011010", B"00010001", B"00110101", B"11111000", B"11011001",
1716
 B"00100111", B"00011110", B"00010110", B"01000001", B"00101001",
1717
 B"00100011", B"00011011", B"00100010", B"00101111", B"11000000",
1718
 B"00100010", B"11100000", B"11101001", B"11001001", B"00001101",
1719
 B"00001011", B"00010111", B"00010110", B"00100011", B"00100010",
1720
 B"00100110", B"00011111", B"00111010", B"00100111", B"00011111",
1721
 B"00100110", B"11110010", B"11110000", B"01001111", B"00011100",
1722
 B"11110001", B"11011110", B"00011010", B"11001100", B"11011101",
1723
 B"11110000", B"00110111", B"10111111", B"00010011", B"11011110",
1724
 B"11111010", B"00110000", B"00000110", B"11100000", B"11010000",
1725
 B"00000000", B"11011110", B"10110011", B"00001011", B"11100011",
1726
 B"11010101", B"11011011", B"11111111", B"00100000", B"11100001",
1727
 B"00000111", B"01001100", B"11111111", B"10110011", B"00000100",
1728
 B"11101000", B"11110111", B"11010100", B"11110101", B"00110011",
1729
 B"11100011", B"00000110", B"00100100", B"11100001", B"11010111",
1730
 B"11001011", B"11111011", B"00100111", B"01000011", B"11101000",
1731
 B"11100010", B"00011010", B"00111000", B"00000010", B"11110011",
1732
 B"11000100", B"00001000", B"10111101", B"00110010", B"11111000",
1733
 B"11100100", B"00010101", B"11111111", B"00101001", B"00001110",
1734
 B"00010011", B"00000010", B"00011100", B"00100011", B"00011011",
1735
 B"00001010", B"11011001", B"00101110", B"00100100", B"11101000",
1736
 B"11000000", B"00010101", B"11001110", B"00110101", B"00000100",
1737
 B"11100001", B"11111000", B"00001101", B"11110100", B"00101100",
1738
 B"11011001", B"00101110", B"00000001", B"11110110", B"11011100",
1739
 B"11101011", B"11011110", B"00100111", B"11101100", B"00101001",
1740
 B"11001101", B"11011000", B"11010001", B"11101100", B"00100101",
1741
 B"10110011", B"10100100", B"00011011", B"11111111", B"00010000",
1742
 B"00110000", B"10101101", B"00000010", B"11100101", B"11000111",
1743
 B"11110110", B"00000100", B"00001010", B"00100100", B"11110110",
1744
 B"00011000", B"11110011", B"00100100", B"11001111", B"11011100",
1745
 B"01000000", B"11100011", B"11110111", B"11010100", B"00001101",
1746
 B"00111111", B"10100100", B"11010111", B"10111011", B"01000101",
1747
 B"00010100", B"00101001", B"00010100", B"11001000", B"11011011",
1748
 B"11101111", B"10111011", B"00100100", B"10111010", B"00110011",
1749
 B"11001011", B"00001001", B"00010100", B"00001010", B"11010010",
1750
 B"11011011", B"00110001", B"00000101", B"11010011", B"10101111",
1751
 B"11101000", B"11101111", B"11101001", B"00011001", B"11010111",
1752
 B"01000101", B"10111111", B"11010101", B"00100010", B"00100111",
1753
 B"00001000", B"11001000", B"00011110", B"00100100", B"11101100",
1754
 B"11101010", B"00011010", B"11001110", B"00011000", B"11011111",
1755
 B"00100011", B"11100010", B"11010001", B"00001110", B"11111111",
1756
 B"00010100", B"01000001", B"00100101", B"11110110", B"00100100",
1757
 B"11101100", B"00001100", B"11011000", B"00011000", B"11111000",
1758
 B"00000001", B"11100100", B"00101111", B"11101001", B"00001010",
1759
 B"11100111", B"11110110", B"11010101", B"11110101", B"11011111",
1760
 B"11100110", B"00010110", B"11011100", B"00101000", B"00000010",
1761
 B"01000011", B"01001001", B"11100110", B"00011001", B"11110100",
1762
 B"00010111", B"11111010", B"11000010", B"00001010", B"11101001",
1763
 B"11001011", B"11101000", B"11110011", B"00010010", B"11011000",
1764
 B"11000010", B"11101011", B"00100011", B"01001010", B"00101010",
1765
 B"00011011", B"11011100", B"11100010", B"11010100", B"11101101",
1766
 B"00011101", B"11001101", B"00100111", B"00000110", B"11011110",
1767
 B"01000001", B"00011110", B"00010110", B"11010100", B"00011100",
1768
 B"00011101", B"11001101", B"11011001", B"01001000", B"00111100",
1769
 B"00010011", B"11111010", B"11100101", B"00110101", B"11010111",
1770
 B"00100100", B"11011110", B"00001110", B"11101000", B"11001110",
1771
 B"00000010", B"11011101", B"11110011", B"11011100", B"11101011",
1772
 B"11110000", B"11101110", B"00110111", B"00001000", B"00100101",
1773
 B"11101101", B"11110101", B"00001010", B"11100111", B"11111011",
1774
 B"11010010", B"00001000", B"00100001", B"00011000", B"00001011",
1775
 B"00101101", B"00101000", B"11111100", B"00010010", B"11111100",
1776
 B"00010110", B"11001011", B"00001101", B"11111100", B"00100001",
1777
 B"00101111", B"11000010", B"11001100", B"00100001", B"00100111",
1778
 B"11000111", B"11101100", B"11111011", B"00010111", B"01000101",
1779
 B"00000000", B"00110111", B"11110100", B"00100000", B"11010001",
1780
 B"00000000", B"00110011", B"00000010", B"00110000", B"11011001",
1781
 B"11010001", B"00100010", B"00100101", B"01011010", B"11101011",
1782
 B"00100000", B"00000101", B"11001111", B"00010110", B"00110000",
1783
 B"00000111", B"00010101", B"00110110", B"00110101", B"11010011",
1784
 B"11110111", B"00001000", B"00011111", B"00000001", B"11111010",
1785
 B"11001001", B"11011001", B"11101010", B"11100101", B"00000010",
1786
 B"00001100", B"00110010", B"01000010", B"00000101", B"11101110",
1787
 B"11110010", B"00010101", B"00100010", B"11110011", B"11000000",
1788
 B"11010000", B"00101001", B"11010011", B"00110001", B"11001001",
1789
 B"11110100", B"00011111", B"00101010", B"11001110", B"00101000",
1790
 B"11100000", B"11110000", B"11100101", B"00001101", B"00110110",
1791
 B"11011001", B"11001000", B"01000000", B"00000101", B"00010001",
1792
 B"11101000", B"00111010", B"11111011", B"00010110", B"10101010",
1793
 B"11100101", B"00100000", B"11100100", B"00011011", B"11001111",
1794
 B"11100101", B"00110111", B"00010110", B"11111000", B"11110110",
1795
 B"00001001", B"00111011", B"00101000", B"11101010", B"11100110",
1796
 B"01001001", B"00010000", B"11011111", B"00101111", B"00010110",
1797
 B"11100011", B"11010010", B"00110010", B"00011110", B"00100001",
1798
 B"00110101", B"11100011", B"00100000", B"11000010", B"00001101",
1799
 B"11101010", B"10101110", B"11010010", B"11010000", B"00001111",
1800
 B"00011010", B"11001011", B"00111100", B"11011001", B"00100100",
1801
 B"11001110", B"00011110", B"11011101", B"11010000", B"00000011",
1802
 B"00011110", B"00100111", B"11101000", B"10110110", B"11111010",
1803
 B"11001100", B"00011100", B"00011010", B"00010111", B"00110111",
1804
 B"11111100", B"11101000", B"00011000", B"00001001", B"11011011",
1805
 B"00001101", B"00101011", B"00100100", B"11101000", B"00010000",
1806
 B"00100100", B"00110100", B"11011010", B"00111101", B"11101000",
1807
 B"00101011", B"11010100", B"00101000", B"00110001", B"11110011",
1808
 B"00010101", B"11101111", B"11001111", B"11010111", B"00001010",
1809
 B"11100010", B"00100101", B"11101000", B"11100110", B"11010100",
1810
 B"00101000", B"00000010", B"11101110", B"00010111", B"11100001",
1811
 B"11010100", B"11000110", B"00001001", B"00110111", B"11110000",
1812
 B"00111001", B"10111111", B"11011000", B"11100010", B"11101110",
1813
 B"00101010", B"11001010", B"00100011", B"11010000", B"11111000",
1814
 B"11011101", B"11011100", B"00010110", B"01001010", B"00001010",
1815
 B"00110000", B"00001000", B"00111100", B"00001001", B"11110000",
1816
 B"00100101", B"11011001", B"11111011", B"11001110", B"01011101",
1817
 B"11110000", B"00011111", B"00001000", B"11101110", B"00110001",
1818
 B"00100011", B"00000110", B"11011111", B"11101000", B"11100001",
1819
 B"10110101", B"01000000", B"00011110", B"00101101", B"00110011",
1820
 B"11110001", B"00111100", B"00101001", B"00001010", B"11101111",
1821
 B"11111111", B"11011011", B"00011000", B"11110111", B"00011100",
1822
 B"00011010", B"11111001", B"11100111", B"00011000", B"11110000",
1823
 B"00001100", B"00001101", B"11011111", B"11010000", B"10111111",
1824
 B"11010111", B"00100100", B"11001111", B"00101111", B"01000110",
1825
 B"11001000", B"11110111", B"11010010", B"11000111", B"11001111",
1826
 B"00001101", B"00101101", B"00110100", B"11110100", B"11110110",
1827
 B"11011100", B"00110011", B"00000111", B"11111101", B"11101100",
1828
 B"01010010", B"00001100", B"00101110", B"10100010", B"00011010",
1829
 B"11011101", B"00101001", B"11001001", B"00001000", B"00000000",
1830
 B"00011001", B"01011110", B"00101011", B"00011000", B"01100011",
1831
 B"00010000", B"00000001", B"11101001", B"00111001", B"10111101",
1832
 B"11110101", B"11001110", B"11101100", B"00011000", B"11110011",
1833
 B"11011110", B"00101110", B"11000100", B"00010111", B"11100101",
1834
 B"11010101", B"00011111", B"11100111", B"11011110", B"11011001",
1835
 B"00000101", B"11111110", B"00000010", B"00111011", B"11000010",
1836
 B"00111101", B"00011010", B"00101110", B"11100110", B"00000000",
1837
 B"11100100", B"11110110", B"11001001", B"00011000", B"11010101",
1838
 B"11110101", B"00010001", B"11100100", B"11111110", B"11001010",
1839
 B"11001101", B"11001110", B"00000000", B"11001001", B"10110101",
1840
 B"10111010", B"00001001", B"00000000", B"11111001", B"00011111",
1841
 B"11010110", B"11011111", B"00111101", B"11100000", B"11101001",
1842
 B"11001100", B"11001010", B"00101111", B"11011000", B"00000100",
1843
 B"00011101", B"00100010", B"00110110", B"00100111", B"00011110",
1844
 B"11010111", B"11100100", B"11111100", B"00111111", B"00100100",
1845
 B"00010110", B"11111101", B"00010100", B"00001000", B"00011100",
1846
 B"00010100", B"10111011", B"00101011", B"10111001", B"00101010",
1847
 B"11000001", B"11110110", B"11010110", B"00001111", B"00011100",
1848
 B"11111011", B"00111010", B"11011011", B"11010011", B"11001000",
1849
 B"00001110", B"00010001", B"11110111", B"00111111", B"11100001",
1850
 B"10110101", B"11111011", B"11100101", B"11011111", B"11100000",
1851
 B"00000001", B"01000100", B"00001010", B"00011000", B"01000000",
1852
 B"00110000", B"11101110", B"11100010", B"11000100", B"11011000",
1853
 B"00100010", B"11010111", B"01000000", B"00101010", B"00101100",
1854
 B"00010000", B"11010101", B"00010011", B"11011101", B"00011110",
1855
 B"11100011", B"00110000", B"11100110", B"00100010", B"00010101",
1856
 B"11011001", B"00101000", B"11110101", B"11010100", B"00111000",
1857
 B"11110010", B"01001100", B"00111000", B"11100100", B"01001100",
1858
 B"00100101", B"11000000", B"11101111", B"11011010", B"00101001",
1859
 B"11111101", B"00001100", B"00001001", B"11110101", B"11101010",
1860
 B"11101110", B"11101101", B"00010101", B"00100110", B"00100000",
1861
 B"00100010", B"11001011", B"11011111", B"00000001", B"11100000",
1862
 B"01010001", B"11010100", B"00101001", B"11100101", B"11100001",
1863
 B"00010010", B"11111001", B"00110111", B"10111101", B"00100111",
1864
 B"11110011", B"00000111", B"00100101", B"00101111", B"11100011",
1865
 B"00101110", B"11111100", B"11010001", B"11110000", B"00111101",
1866
 B"00011110", B"11110110", B"11110110", B"00001100", B"11111110",
1867
 B"00011101", B"00000100", B"11000101", B"00111000", B"11111001",
1868
 B"11010011", B"00001010", B"11010100", B"11011010", B"11110001",
1869
 B"00010100", B"01011000", B"00000000", B"10111010", B"10110110",
1870
 B"00101011", B"11100100", B"11111011", B"11010011", B"11110110",
1871
 B"00011110", B"11100010", B"11111001", B"11110000", B"00010000",
1872
 B"11111000", B"00011001", B"00001111", B"00001010", B"11111101",
1873
 B"11101010", B"00000001", B"00111100", B"11110010", B"00110000",
1874
 B"00110010", B"11101110", B"00001001", B"00111001", B"11111000",
1875
 B"10111101", B"00000000", B"11111111", B"00000001", B"11100001",
1876
 B"11010001", B"10101111", B"00000111", B"11101011", B"00010101",
1877
 B"00110001", B"00101001", B"00000111", B"11011011", B"00011101",
1878
 B"11100001", B"00000110", B"11010011", B"10110011", B"00101101",
1879
 B"00100011", B"00100111", B"11100000", B"11110111", B"00101000",
1880
 B"11101110", B"11011111", B"11100111", B"11110001", B"00000010",
1881
 B"11101011", B"00010010", B"11101011", B"11010111", B"11100110",
1882
 B"11001100", B"11011001", B"00001101", B"10000001", B"11001111",
1883
 B"11011001", B"00010101", B"00111110", B"00111000", B"00011011",
1884
 B"00111010", B"11010111", B"11101110", B"00011100", B"11010111",
1885
 B"11000100", B"11110001", B"11010110", B"00001111", B"11001010",
1886
 B"11101111", B"00000001", B"11001111", B"11100111", B"11101100",
1887
 B"11011011", B"00011100", B"00010011", B"00111110", B"00100011",
1888
 B"00001000", B"00010101", B"00101000", B"00000100", B"00000110",
1889
 B"00010001", B"11100011", B"00110010", B"00001010", B"11001001",
1890
 B"00010011", B"00011110", B"00111000", B"11001101", B"11011110",
1891
 B"11010111", B"11100100", B"00101011", B"11011010", B"00101111",
1892
 B"11111011", B"10100100", B"11110111", B"10101101", B"00000010",
1893
 B"00011001", B"11010101", B"00101111", B"00010001", B"00100001",
1894
 B"11000101", B"11001100", B"00010111", B"00011110", B"11110000",
1895
 B"11110111", B"00010010", B"11010110", B"00010100", B"10110000",
1896
 B"11110000", B"11010110", B"00101000", B"00010001", B"11101100",
1897
 B"00101010", B"00011101", B"11011000", B"11101001", B"10111101",
1898
 B"11001000", B"11111000", B"01000011", B"00101000", B"00100011",
1899
 B"00101010", B"11100010", B"01100010", B"00011110", B"00011111",
1900
 B"00100000", B"11100001", B"11100111", B"11000101", B"10111011",
1901
 B"00011110", B"10111001", B"00110011", B"00101101", B"10111100",
1902
 B"10111101", B"01001100", B"00000101", B"11100101", B"00000111",
1903
 B"11011111", B"11110101", B"11101100", B"00100000", B"00000010",
1904
 B"00100001", B"00101000", B"11101111", B"00001100", B"00100010",
1905
 B"00110110", B"01001110", B"11111110", B"00111010", B"11011000",
1906
 B"00000110", B"11000110", B"11100110", B"11000011", B"00110001",
1907
 B"00011101", B"00011011", B"00110001", B"11101110", B"10101010",
1908
 B"00001111", B"00001110", B"00000101", B"11101111", B"11100011",
1909
 B"10101001", B"01001101", B"01000000", B"00111101", B"00000101",
1910
 B"00101000", B"11101100", B"11100011", B"11010011", B"00001101",
1911
 B"00111001", B"00100101", B"00111010", B"00100010", B"00001001",
1912
 B"00010110", B"00100101", B"00010011", B"00100010", B"00010001",
1913
 B"01001011", B"00010000", B"01001001", B"00001101", B"11100100",
1914
 B"11100010", B"00010010", B"11010111", B"11000101", B"11010001",
1915
 B"11001110", B"00001001", B"01000111", B"00101000", B"01000101",
1916
 B"01010010", B"00010111", B"11100000", B"11111110", B"00011010",
1917
 B"00010101", B"11100011", B"11110010", B"11011101", B"00101010",
1918
 B"00001101", B"11010110", B"11100010", B"11110000", B"10111111",
1919
 B"11001110", B"11011100", B"01000110", B"01010101", B"00101000",
1920
 B"10101101", B"00100100", B"11010110", B"00110101", B"00110101",
1921
 B"10110011", B"00000110", B"11101101", B"11010101", B"11101111",
1922
 B"00100011", B"00100111", B"00010001", B"11111010", B"11110000",
1923
 B"11110011", B"11001111", B"00000111", B"11000001", B"11001110",
1924
 B"11101000", B"00001011", B"01000001", B"11001101", B"11010010",
1925
 B"11110011", B"11001010", B"11101101", B"11001110", B"11111111",
1926
 B"11010100", B"00010001", B"00000111", B"11101010", B"00111010",
1927
 B"11010010", B"00100000", B"00000000", B"11110101", B"11101010",
1928
 B"11011111", B"00010100", B"11100111", B"01011001", B"11110100",
1929
 B"00010010", B"11010100", B"11001101", B"00011110", B"00000101",
1930
 B"00100000", B"11011011", B"11010101", B"00111011", B"00001001",
1931
 B"00011011", B"11011101", B"00111100", B"11001100", B"00010010",
1932
 B"00001011", B"11110100", B"00000011", B"11011100", B"00000000",
1933
 B"11001001", B"00011001", B"11110101", B"10111100", B"00011110",
1934
 B"00110001", B"11100100", B"11101101", B"11010010", B"10110110",
1935
 B"11101111", B"11111001", B"11100100", B"11011101", B"00111100",
1936
 B"00101010", B"11110111", B"00110101", B"11111000", B"11100001",
1937
 B"00100011", B"11011100", B"11100110", B"11011010", B"00010001",
1938
 B"00100011", B"00001111", B"00110100", B"11000101", B"00101111",
1939
 B"11011110", B"11111001", B"11011110", B"01000011", B"00101111",
1940
 B"00110010", B"01000101", B"00101110", B"11011100", B"00101010",
1941
 B"11100011", B"00011000", B"11010011", B"00001101", B"11010101",
1942
 B"11100100", B"00001001", B"00111011", B"00100001", B"00000100",
1943
 B"00111001", B"11001100", B"11011110", B"11100110", B"11001100",
1944
 B"00110101", B"00100101", B"00101001", B"00110110", B"00011001",
1945
 B"00111100", B"11011010", B"00011101", B"00001000", B"11001000",
1946
 B"00000100", B"00111000", B"11011001", B"11011110", B"00100000",
1947
 B"10111100", B"00100011", B"11010001", B"00000101", B"00000001",
1948
 B"00110100", B"11101100", B"11000000", B"00010101", B"00011010",
1949
 B"00000101", B"11010001", B"00101111", B"00001101", B"00000001",
1950
 B"00000010", B"00010110", B"01011011", B"11110010", B"11110101",
1951
 B"00011000", B"11101001", B"00110011", B"00010010", B"11010110",
1952
 B"00010111", B"11111000", B"00111100", B"11111101", B"11111001",
1953
 B"11011010", B"00101110", B"11100110", B"11010101", B"00001001",
1954
 B"00010000", B"11101111", B"00011000", B"11010110", B"00100000",
1955
 B"00000001", B"11001100", B"01001001", B"00101001", B"11101110",
1956
 B"11010010", B"11101011", B"00100100", B"11110001", B"00110010",
1957
 B"11100000", B"11010101", B"00110111", B"11101100", B"00001100",
1958
 B"00100101", B"11011010", B"11010001", B"10111011", B"00000100",
1959
 B"11101010", B"11010100", B"00110110", B"01010000", B"10111001",
1960
 B"11100001", B"11000101", B"11100101", B"11110111", B"11111000",
1961
 B"00011000", B"00001101", B"00101000", B"01001001", B"00011111",
1962
 B"11010110", B"11001110", B"11111010", B"00100011", B"00110001",
1963
 B"00001100", B"11100011", B"00001111", B"11011101", B"00011100",
1964
 B"11100100", B"00001001", B"00100101", B"11000001", B"10101110",
1965
 B"10111101", B"00010000", B"11001101", B"00100001", B"11110101",
1966
 B"11100111", B"00101111", B"00100010", B"10111101", B"11011100",
1967
 B"00011001", B"00011111", B"11011010", B"11110111", B"11110110",
1968
 B"10101101", B"00100011", B"11110100", B"00111101", B"11101010",
1969
 B"00110101", B"11001010", B"00000100", B"10110010", B"11000111",
1970
 B"00011100", B"00110100", B"00011100", B"00001011", B"11010111",
1971
 B"11100100", B"00101001", B"00101110", B"11111010", B"11000001",
1972
 B"00011110", B"00011000", B"10110011", B"00000111", B"00011110",
1973
 B"11011110", B"00001011", B"00011101", B"11101100", B"00001011",
1974
 B"00000101", B"11100100", B"11010001", B"00100110", B"00100101",
1975
 B"11100110", B"00111101", B"00001100", B"11010111", B"11000000",
1976
 B"00011000", B"00010110", B"11110011", B"00000111", B"11110000",
1977
 B"11101010", B"11000100", B"11111011", B"11010100", B"11010000",
1978
 B"11110011", B"11110110", B"11100101", B"00000000", B"11100010",
1979
 B"00001111", B"11101000", B"11001100", B"00101011", B"00011001",
1980
 B"00100000", B"11001011", B"11110001", B"11100101", B"11111011",
1981
 B"00101100", B"11001001", B"00101101", B"00001111", B"00011101",
1982
 B"11011101", B"11110110", B"00011011", B"10101101", B"11100111",
1983
 B"00110000", B"00100111", B"11111010", B"11110110", B"11001011",
1984
 B"10101010", B"00010010", B"11101000", B"00001010", B"00001010",
1985
 B"00101011", B"11010101", B"11010101", B"01001111", B"00100000",
1986
 B"11010100", B"11100010", B"00100111", B"01000101", B"11110100",
1987
 B"11011010", B"00100010", B"11110111", B"11100001", B"11101110",
1988
 B"11111101", B"11100001", B"01010101", B"01010100", B"11101011",
1989
 B"00010101", B"00011101", B"11110011", B"11000001", B"11010001",
1990
 B"11000110", B"00101100", B"00011111", B"11101110", B"01001000",
1991
 B"10111010", B"11111100", B"11000101", B"00001111", B"00100001",
1992
 B"01001101", B"00001000", B"00001000", B"11100111", B"11101111",
1993
 B"11111100", B"00110010", B"00000110", B"00101000", B"00011011",
1994
 B"00000110", B"11001110", B"00100110", B"00111000", B"00110010",
1995
 B"11010000", B"00101100", B"11101111", B"00110110", B"11101110",
1996
 B"00010000", B"00000111", B"11100110", B"11100010", B"01000010",
1997
 B"00100111", B"11001011", B"11101101", B"00010000", B"00100110",
1998
 B"00001100", B"00000000", B"00100110", B"00101110", B"00000111",
1999
 B"00101010", B"11000101", B"00101100", B"11000111", B"00001111",
2000
 B"11111111", B"11001110", B"01001010", B"00001101", B"10100001",
2001
 B"11101010", B"11011011", B"00101100", B"00010110", B"11010100",
2002
 B"00010110", B"11010110", B"00011111", B"11110010", B"11100111",
2003
 B"00100011", B"00001100", B"10110010", B"00100011", B"00011111",
2004
 B"11011111", B"00100111", B"01000001", B"11101010", B"11010111",
2005
 B"10110110", B"11111101", B"11100111", B"11000111", B"00100001",
2006
 B"00011111", B"00101011", B"11111010", B"00100001", B"11000101",
2007
 B"11111100", B"11010011", B"11110010", B"00101011", B"00100010",
2008
 B"00011000", B"00111101", B"11100010", B"00001011", B"11000100",
2009
 B"00000010", B"00110001", B"00101100", B"11011111", B"00011101",
2010
 B"10111100", B"00001111", B"00101101", B"00100101", B"11100111",
2011
 B"00101101", B"00011111", B"00000010", B"11011011", B"11111111",
2012
 B"00100011", B"00110010", B"00011001", B"11001111", B"00011101",
2013
 B"11101011", B"00011101", B"11011100", B"11110011", B"11001110",
2014
 B"10110111", B"00100001", B"10111001", B"11110100", B"11101101",
2015
 B"11010101", B"00101100", B"11010001", B"00101011", B"00011100",
2016
 B"11011010", B"01001101", B"00000101", B"11011101", B"11010001",
2017
 B"00100000", B"11110101", B"11010100", B"11001111", B"00111100",
2018
 B"00010011", B"11110001", B"11111110", B"00100100", B"00100101",
2019
 B"00101111", B"11000101", B"00100001", B"11101111", B"00001110",
2020
 B"11001000", B"11101100", B"10111000", B"11100001", B"00101101",
2021
 B"00110000", B"00010010", B"00011101", B"11010000", B"00100000",
2022
 B"00101001", B"00000010", B"11011101", B"11100100", B"11110110",
2023
 B"11111000", B"00010001", B"00100101", B"01000000", B"00000001",
2024
 B"00011001", B"11001001", B"00100010", B"11111000", B"11000101",
2025
 B"11111010", B"00000000", B"10110101", B"00101011", B"11010011",
2026
 B"11001110", B"00011101", B"00110101", B"00101001", B"00001010",
2027
 B"11110001", B"01001011", B"11101010", B"00010001", B"00010011",
2028
 B"00001001", B"01000011", B"00111100", B"00101011", B"01010001",
2029
 B"00111110", B"00100101", B"00011100", B"00100101", B"11110100",
2030
 B"00010010", B"11100101", B"11101011", B"11100110", B"11111110",
2031
 B"00000010", B"00010110", B"11010001", B"11011111", B"11100101",
2032
 B"11011001", B"00011011", B"11100101", B"00001011", B"11101010",
2033
 B"00010011", B"00110000", B"00010111", B"11110100", B"11001100",
2034
 B"11000101", B"11101010", B"11010001", B"00111000", B"11110110",
2035
 B"11101010", B"11101001", B"00111011", B"01001100", B"11001000",
2036
 B"10111010", B"00001000", B"00011001", B"00110010", B"11110011",
2037
 B"00011110", B"11001011", B"00111010", B"00011010", B"11101100",
2038
 B"11010000", B"11011011", B"11101001", B"00110111", B"11001101",
2039
 B"00000111", B"11101000", B"00001101", B"00010111", B"11001111",
2040
 B"11010011", B"11000000", B"11000010", B"11010101", B"11011111",
2041
 B"00101011", B"00010010", B"00101101", B"11101011", B"00011101",
2042
 B"11000100", B"00100000", B"00011011", B"00000000", B"11110000",
2043
 B"11100000", B"00010100", B"00100100", B"11010000", B"11011011",
2044
 B"11010001", B"11100110", B"00000001", B"00010101", B"00011110",
2045
 B"00001110", B"11010110", B"11110010", B"11001010", B"00111011",
2046
 B"00010011", B"00100001", B"10111110", B"00011011", B"00000010",
2047
 B"00110100", B"11101000", B"11001001", B"11100100", B"11000101",
2048
 B"00011100", B"00110011", B"00101110", B"01011101", B"11111000",
2049
 B"00001001", B"11100111", B"00111101", B"11001110", B"11010100",
2050
 B"11111100", B"00100000", B"00100000", B"11100000", B"11110010",
2051
 B"00000100", B"00000100", B"00101110", B"11101100", B"00100100",
2052
 B"01000100", B"01001000", B"00000101", B"11111101", B"00010101",
2053
 B"11011111", B"00000110", B"11011000", B"00001011", B"11110000",
2054
 B"00001001", B"11110000", B"11110111", B"11101011", B"11111001",
2055
 B"00110111", B"11110011", B"00100101", B"00001110", B"11001001",
2056
 B"11100001", B"11100010", B"11011010", B"11011111", B"00000101",
2057
 B"11000000", B"11100011", B"11011000", B"00101011", B"11111010",
2058
 B"00110011", B"00011000", B"11001011", B"00000111", B"00101000",
2059
 B"11010111", B"00101101", B"11101010", B"11100110", B"00100010",
2060
 B"11101011", B"11101011", B"11100001", B"00111110", B"11010110",
2061
 B"11010000", B"00101101", B"00111101", B"00010111", B"11010110",
2062
 B"00000010", B"00100011", B"00010011", B"00100101", B"00011011",
2063
 B"11100010", B"00011110", B"00100101", B"11010010", B"11111010",
2064
 B"00010100", B"11000111", B"11111000", B"00000000", B"00001110",
2065
 B"11000111", B"00011011", B"10111101", B"11110001", B"11010000",
2066
 B"00101010", B"00011110", B"11010001", B"00101100", B"11101110",
2067
 B"00001011", B"11011100", B"00000011", B"00001100", B"00100001",
2068
 B"00000101", B"00100100", B"11101001", B"11100000", B"00010000",
2069
 B"00010010", B"01011000", B"11111001", B"01000000", B"11100000",
2070
 B"00011000", B"00101001", B"00000111", B"11111111", B"00111011",
2071
 B"11011110", B"11001110", B"11011011", B"00010001", B"00110010",
2072
 B"11001110", B"00101100", B"11010110", B"01000010", B"00001100",
2073
 B"11111011", B"11111000", B"00100111", B"11011111", B"11001011",
2074
 B"11100001", B"11011000", B"11000011", B"00000101", B"00101101",
2075
 B"11100110", B"11100001", B"10111010", B"11100011", B"11000101",
2076
 B"11100101", B"10110000", B"00000111", B"00001100", B"00010111",
2077
 B"00011001", B"11010110", B"11011111", B"00000011", B"00011101",
2078
 B"00101110", B"00001101", B"10101101", B"00110001", B"11101010",
2079
 B"00000101", B"10110010", B"11000000", B"00100000", B"11101100",
2080
 B"11101000", B"00100110", B"11010011", B"11010111", B"00011110",
2081
 B"01001100", B"11010000", B"11010001", B"00100111", B"01000001",
2082
 B"11110001", B"10101100", B"11100100", B"00111101", B"00011000",
2083
 B"11001011", B"11100100", B"11111010", B"10111101", B"10111000",
2084
 B"01010010", B"00101010", B"11001101", B"11011100", B"00011010",
2085
 B"00010100", B"00000001", B"11101111", B"00000101", B"11010110",
2086
 B"00110001", B"11011011", B"00110011", B"11100110", B"11100111",
2087
 B"00110111", B"11011111", B"11001010", B"00001011", B"00010010",
2088
 B"00100011", B"01000100", B"11011010", B"11010100", B"00010001",
2089
 B"00011100", B"00110100", B"00101000", B"00010101", B"00010100",
2090
 B"00010101", B"00011101", B"10101001", B"00100101", B"10111111",
2091
 B"01001100", B"10111011", B"00000001", B"00010110", B"11111100",
2092
 B"11011001", B"00100010", B"01000010", B"00100110", B"11010011",
2093
 B"00110111", B"11001011", B"00100001", B"11100000", B"00011101",
2094
 B"00111010", B"11010011", B"11011100", B"11010001", B"11100001",
2095
 B"11101101", B"11110110", B"11000011", B"11001000", B"00010111",
2096
 B"11011101", B"00101101", B"11011101", B"00100010", B"11000101",
2097
 B"00101101", B"00100111", B"11100001", B"11011001", B"11111000",
2098
 B"10101111", B"11000010", B"11100011", B"11111101", B"00000110",
2099
 B"00011011", B"00111110", B"01001000", B"11110100", B"11101111",
2100
 B"01000001", B"00011000", B"00000011", B"00011110", B"00001100",
2101
 B"00010110", B"00000010", B"00000000", B"00111111", B"11010110",
2102
 B"00011010", B"11010011", B"10111000", B"11100100", B"00110111",
2103
 B"00110101", B"11110111", B"11100000", B"00111010", B"11010010",
2104
 B"11000101", B"11101001", B"00001100", B"00101000", B"00001010",
2105
 B"00000001", B"10111111", B"00010101", B"00011110", B"11000100",
2106
 B"11100010", B"00111100", B"11000111", B"00010000", B"11000110",
2107
 B"10111111", B"11011110", B"11111100", B"01100000", B"11110001",
2108
 B"00001100", B"00000011", B"01000010", B"11010101", B"10110011",
2109
 B"11000001", B"00010110", B"00010010", B"11010111", B"11111100",
2110
 B"00100001", B"00111101", B"00011000", B"01000011", B"11100101",
2111
 B"11101101", B"00011101", B"11110001", B"00001100", B"11100001",
2112
 B"11001011", B"10111110", B"00011000", B"00101010", B"11100011",
2113
 B"00101111", B"00001101", B"00000100", B"11010010", B"11111101",
2114
 B"10111100", B"11001101", B"11011011", B"00110100", B"11001010",
2115
 B"00100100", B"11001110", B"10111101", B"00011001", B"00100000",
2116
 B"11111001", B"00110111", B"00010011", B"00010110", B"00000101",
2117
 B"00100011", B"11010101", B"00101000", B"11000110", B"11001101",
2118
 B"00111100", B"00010000", B"00011100", B"00101001", B"10110101",
2119
 B"10111101", B"11010100", B"00000111", B"00101010", B"01100010",
2120
 B"11101101", B"00100001", B"11010001", B"00111010", B"11100100",
2121
 B"11100101", B"00100100", B"11110000", B"00101010", B"11001100",
2122
 B"11010101", B"00111000", B"10111000", B"11110100", B"00100100",
2123
 B"11100001", B"11101100", B"00001101", B"11111101", B"01001101",
2124
 B"00110010", B"00101111", B"01000001", B"11100001", B"00011111",
2125
 B"11101001", B"00011011", B"11011000", B"00100010", B"10011011",
2126
 B"11100111", B"00010101", B"10110111", B"11000000", B"11011111",
2127
 B"11011101", B"00111110", B"11111010", B"11001100", B"00100011",
2128
 B"11001101", B"11010110", B"00001001", B"11110000", B"00010000",
2129
 B"00000000", B"11111010", B"11010100", B"11010110", B"00110001",
2130
 B"00010010", B"11100011", B"11110011", B"00110110", B"10111000",
2131
 B"00101111", B"11101111", B"11110000", B"00100110", B"00000111",
2132
 B"00111001", B"00011111", B"11011011", B"00100110", B"00111101",
2133
 B"10011011", B"11011110", B"00000101", B"11011010", B"11100101",
2134
 B"00011110", B"00011110", B"00100101", B"01010000", B"11010110",
2135
 B"11000100", B"00110001", B"10111110", B"00001110", B"00000000",
2136
 B"11100101", B"00101100", B"11011110", B"00100101", B"00010110",
2137
 B"11111010", B"00010011", B"00010110", B"00001111", B"11010011",
2138
 B"11100000", B"00000001", B"11111111", B"11110110", B"11100011",
2139
 B"00011110", B"11110000", B"11100000", B"11000110", B"11011100",
2140
 B"00011100", B"00010111", B"11111001", B"11010100", B"00100001",
2141
 B"11100010", B"00011111", B"11001011", B"01001011", B"00100011",
2142
 B"11101110", B"00101011", B"00010111", B"11010101", B"11110010",
2143
 B"00011111", B"11110001", B"11010111", B"11100011", B"11000000",
2144
 B"00000010", B"00110111", B"00010011", B"00100111", B"00110010",
2145
 B"11011011", B"11101000", B"01000000", B"11101000", B"11001000",
2146
 B"11001010", B"10101100", B"00011110", B"11010111", B"00011110",
2147
 B"11001101", B"11101101", B"11001101", B"00100010", B"00100011",
2148
 B"11101110", B"10111000", B"11101000", B"11011000", B"00101010",
2149
 B"00100110", B"00001111", B"00010100", B"00110101", B"00101100",
2150
 B"11000110", B"00000100", B"00101011", B"00010001", B"11011101",
2151
 B"11110111", B"11100100", B"11100010", B"11101000", B"11101111",
2152
 B"11110100", B"11101001", B"00011100", B"11001011", B"00111101",
2153
 B"01000000", B"00111100", B"00011001", B"11111101", B"00011001",
2154
 B"00001110", B"11111110", B"10101000", B"01000010", B"11111010",
2155
 B"00010110", B"00001101", B"11100001", B"00001001", B"11111000",
2156
 B"00001111", B"11110011", B"11101011", B"00010001", B"10110100",
2157
 B"11011001", B"11010011", B"10111100", B"11000111", B"11011000",
2158
 B"00110100", B"00000110", B"00110011", B"11000100", B"00001100",
2159
 B"11111100", B"00001010", B"00011111", B"11010010", B"00011011",
2160
 B"00110011", B"00001000", B"11100010", B"11000100", B"11111100",
2161
 B"00101011", B"11110101", B"00111111", B"11010000", B"11100011",
2162
 B"00011010", B"00101011", B"11110101", B"11010101", B"11110110",
2163
 B"11010000", B"11110000", B"00100111", B"11110001", B"00011010",
2164
 B"00010100", B"00011110", B"00111111", B"00111010", B"00010000",
2165
 B"11111101", B"00001100", B"00100110", B"00100110", B"11100001",
2166
 B"11111001", B"00111110", B"10111110", B"11011001", B"11100010",
2167
 B"11110110", B"00110110", B"00001110", B"11011000", B"00100000",
2168
 B"00010001", B"11111101", B"11110011", B"00000110", B"00111100",
2169
 B"00101001", B"00100001", B"11100100", B"00000010", B"11100111",
2170
 B"00011110", B"11011110", B"00010001", B"11011010", B"01000000",
2171
 B"00100110", B"11011101", B"00100110", B"00101001", B"11001000",
2172
 B"11011000", B"11111110", B"11100110", B"11100101", B"11011011",
2173
 B"00100001", B"00101000", B"11010000", B"00100100", B"00010001",
2174
 B"11111000", B"00000100", B"00011010", B"00110001", B"00010100",
2175
 B"10101101", B"00001111", B"11001000", B"11000101", B"11110111",
2176
 B"11101000", B"00010010", B"11000100", B"00111010", B"00011011",
2177
 B"00000001", B"00101001", B"00101101", B"11100110", B"00011000",
2178
 B"00100011", B"11100010", B"11011101", B"00010000", B"10101000",
2179
 B"00011100", B"11010101", B"11000101", B"01001010", B"00101001",
2180
 B"00010010", B"11101110", B"11001001", B"11010000", B"11011100",
2181
 B"00011000", B"11101001", B"11111101", B"10101111", B"11010011",
2182
 B"11101100", B"00100111", B"00100101", B"11100110", B"00011111",
2183
 B"11100100", B"11111011", B"11011110", B"00011110", B"00111011",
2184
 B"01000001", B"00110010", B"11100001", B"00000110", B"10111010",
2185
 B"11001100", B"11000111", B"00110101", B"00100110", B"00000001",
2186
 B"00111001", B"11011010", B"11011111", B"00001111", B"00101010",
2187
 B"11100000", B"00001111", B"11100001", B"11111001", B"00101110",
2188
 B"00011011", B"01000111", B"11001111", B"11010011", B"00100100",
2189
 B"00011100", B"10110010", B"11011000", B"00110100", B"10111110",
2190
 B"11100000", B"11111111", B"11000011", B"00011110", B"11000010",
2191
 B"00011011", B"00101011", B"00100010", B"11011111", B"01001101",
2192
 B"00001011", B"11100100", B"10111010", B"00111110", B"01010111",
2193
 B"00100100", B"00011010", B"00101000", B"00001010", B"00010011",
2194
 B"00010000", B"01010110", B"10101100", B"11101101", B"11011101",
2195
 B"10111000", B"00010001", B"11110100", B"00011011", B"11111011",
2196
 B"00100101", B"00010001", B"11111010", B"00101000", B"00011111",
2197
 B"11100010", B"00010111", B"00000111", B"11111110", B"11000111",
2198
 B"00110010", B"00101100", B"00101100", B"11111000", B"11100011",
2199
 B"11011000", B"00010100", B"11010011", B"11110011", B"00110100",
2200
 B"11110011", B"11001111", B"11111110", B"11100100", B"01010111",
2201
 B"00010110", B"11111000", B"00011001", B"00010110", B"11111001",
2202
 B"11111111", B"11101010", B"00010101", B"00100111", B"00101100",
2203
 B"00110010", B"00011011", B"00011011", B"00000010", B"00000110",
2204
 B"00010010", B"01000010", B"00001110", B"00111011", B"00011001",
2205
 B"00011110", B"00110011", B"00001000", B"10110110", B"01000011",
2206
 B"00001011", B"00000111", B"11001010", B"11100100", B"00011010",
2207
 B"00111000", B"10101101", B"11010100", B"00001111", B"11101111",
2208
 B"11111111", B"11010100", B"11100110", B"00011000", B"00110010",
2209
 B"11001100", B"01000010", B"00111010", B"00010100", B"00100101",
2210
 B"00111100", B"11101101", B"11110100", B"11011000", B"11100111",
2211
 B"00000110", B"00000110", B"11111101", B"11101011", B"00011101",
2212
 B"00100010", B"00100111", B"00111010", B"11011011", B"00110100",
2213
 B"11010011", B"11111000", B"11110111", B"11111011", B"00010011",
2214
 B"11011101", B"00010100", B"11101101", B"11101000", B"00101000",
2215
 B"00011011", B"00101000", B"00011110", B"00110100", B"00001111",
2216
 B"00110010", B"00010010", B"00101111", B"00101001", B"00011001",
2217
 B"00101000", B"11100110", B"11100110", B"00101101", B"00010010",
2218
 B"11101010", B"11110101", B"11100000", B"00100011", B"10101111",
2219
 B"11111010", B"11101110", B"11110110", B"00010001", B"11001000",
2220
 B"11100110", B"00100001", B"11001101", B"00101111", B"11011110",
2221
 B"11101010", B"01001001", B"00011100", B"11010000", B"11001010",
2222
 B"01000001", B"00010000", B"00010111", B"00000100", B"11110110",
2223
 B"11000101", B"11011111", B"00000000", B"00110001", B"00010110",
2224
 B"10111101", B"00110110", B"11111111", B"00010011", B"00001010",
2225
 B"00010010", B"00101001", B"11001000", B"11011001", B"11101011",
2226
 B"00001010", B"00011110", B"11001100", B"10111001", B"11011001",
2227
 B"00111001", B"00100000", B"11010111", B"00001100", B"10111010",
2228
 B"11011011", B"11100100", B"00001111", B"11001010", B"11110011",
2229
 B"11010100", B"11011101", B"00010010", B"11100101", B"00100001",
2230
 B"00100011", B"11100001", B"11010000", B"11010110", B"11001011",
2231
 B"11100011", B"11010100", B"11110010", B"11110011", B"00011111",
2232
 B"00100111", B"11010000", B"01000111", B"11001111", B"11011001",
2233
 B"11011001", B"11110101", B"11011001", B"00100100", B"11110010",
2234
 B"00110100", B"00001000", B"11011011", B"11110100", B"11000111",
2235
 B"00101011", B"00100111", B"11010100", B"00111001", B"10111100",
2236
 B"00111110", B"10111111", B"10111100", B"11110011", B"11100110",
2237
 B"00101001", B"10111001", B"00101100", B"11001011", B"11100000",
2238
 B"00011011", B"11010000", B"00010100", B"11011111", B"11100011",
2239
 B"01001111", B"11100100", B"00110111", B"00000001", B"00100011",
2240
 B"11011010", B"11010111", B"00011101", B"01000011", B"10111111",
2241
 B"00011001", B"00011100", B"11110010", B"11100000", B"11110000",
2242
 B"11011101", B"11010101", B"11011010", B"01000000", B"11010011",
2243
 B"00010010", B"00010001", B"11011101", B"00010011", B"00010011",
2244
 B"11101001", B"11101010", B"11011101", B"11110000", B"01000101",
2245
 B"00101111", B"00000011", B"11100001", B"00101011", B"11010111",
2246
 B"10110101", B"11110100", B"11001100", B"00011011", B"11001010",
2247
 B"00101010", B"00101101", B"11001101", B"11110101", B"00010001",
2248
 B"10111011", B"11111110", B"11101110", B"11011001", B"11011100",
2249
 B"00100001", B"11110010", B"00000100", B"11101011", B"00010101",
2250
 B"11001001", B"00011110", B"11101001", B"00111010", B"11101001",
2251
 B"00101110", B"11011110", B"00011010", B"00110000", B"00011110",
2252
 B"00110101", B"00001100", B"00001000", B"10111110", B"11101010",
2253
 B"11010001", B"00110010", B"00111111", B"11101111", B"11010011",
2254
 B"11101001", B"00011010", B"00010111", B"11101000", B"00011110",
2255
 B"11001001", B"11001101", B"11110010", B"11010001", B"00101011",
2256
 B"11001111", B"11010011", B"01000001", B"00100000", B"00101110",
2257
 B"00100110", B"00001110", B"00111111", B"00110000", B"00010010",
2258
 B"00101110", B"00011101", B"00010001", B"11101110", B"11100110",
2259
 B"11100011", B"11110111", B"00111011", B"11011001", B"00101111",
2260
 B"00101001", B"11011010", B"00010110", B"00010000", B"11010101",
2261
 B"11011110", B"11101010", B"11101011", B"11001111", B"11011000",
2262
 B"11100010", B"11001011", B"00101111", B"00011111", B"00000100",
2263
 B"00011111", B"11011100", B"00110011", B"00011000", B"11111011",
2264
 B"10111001", B"01001101", B"11111011", B"00010001", B"11001011",
2265
 B"00110001", B"00000010", B"11101011", B"11101100", B"11110100",
2266
 B"10111010", B"11011111", B"00100001", B"11101101", B"11111111",
2267
 B"00100000", B"11010001", B"11010100", B"11001011", B"10111111",
2268
 B"00000110", B"11001101", B"00000100", B"11000101", B"11101001",
2269
 B"11101111", B"11110001", B"00100100", B"00100001", B"00010100",
2270
 B"11110010", B"00000101", B"00111111", B"11001011", B"11000011",
2271
 B"00010100", B"11011010", B"00111011", B"10100110", B"00001011",
2272
 B"11001010", B"00110010", B"00011001", B"11010100", B"11110010",
2273
 B"11010010", B"10110111", B"00000111", B"00010100", B"00100110",
2274
 B"00001101", B"00010010", B"00011111", B"00100101", B"00110100",
2275
 B"00010001", B"00010100", B"01000001", B"00101101", B"00000011",
2276
 B"11010110", B"10101011", B"00111100", B"01001010", B"11001110",
2277
 B"00110001", B"11111100", B"11100111", B"00101101", B"11101110",
2278
 B"00001100", B"11100011", B"11010110", B"11110101", B"00101010",
2279
 B"00001011", B"00110010", B"10111110", B"00011110", B"11010111",
2280
 B"11110110", B"11111011", B"00101101", B"00100000", B"11100101",
2281
 B"11111001", B"00100011", B"10101010", B"00001111", B"11100111",
2282
 B"11010110", B"11011001", B"00011010", B"11010100", B"11000011",
2283
 B"00000100", B"00010010", B"00000111", B"00000000", B"11110010",
2284
 B"00100011", B"11010000", B"11010101", B"11011101", B"11001000",
2285
 B"00101111", B"11001100", B"00011010", B"00010000", B"11100001",
2286
 B"01000111", B"10110110", B"11001110", B"11011111", B"01000011",
2287
 B"00110011", B"00001000", B"00010111", B"00000100", B"11001111",
2288
 B"00011011", B"00101100", B"11011000", B"11000001", B"00111011",
2289
 B"11010101", B"00100010", B"11101011", B"11000011", B"11101101",
2290
 B"00010101", B"11110011", B"00101110", B"00100011", B"10111111",
2291
 B"11110001", B"00000100", B"00111010", B"00000010", B"11101010",
2292
 B"00110100", B"00011111", B"11011011", B"11010110", B"00110110",
2293
 B"00010011", B"11000100", B"11011110", B"00000110", B"00011110",
2294
 B"00001000", B"11110011", B"11111111", B"00001010", B"00001010",
2295
 B"00000110", B"11011101", B"11001111", B"00100100", B"11110111",
2296
 B"11110000", B"00001000", B"11100011", B"00110001", B"11000011",
2297
 B"00011000", B"11110001", B"00010110", B"11101010", B"00111001",
2298
 B"00110010", B"11100111", B"11111111", B"00011100", B"00110101",
2299
 B"00100011", B"11101101", B"11101100", B"11100001", B"00011000",
2300
 B"00101111", B"11011101", B"00000100", B"11110000", B"11011011",
2301
 B"00011111", B"11010001", B"00111010", B"00000001", B"00110001",
2302
 B"11101110", B"00100001", B"00001111", B"11100011", B"00011101",
2303
 B"00010111", B"11010101", B"11101011", B"00000110", B"00010010",
2304
 B"00110011", B"00110111", B"11101011", B"11000100", B"11101010",
2305
 B"10101110", B"11100001", B"00101000", B"00011100", B"11100111",
2306
 B"01011100", B"00000111", B"00110111", B"11010100", B"00001101",
2307
 B"00010101", B"11110010", B"11110000", B"00100111", B"10111101",
2308
 B"00011011", B"11111000", B"11101000", B"11011110", B"00011011",
2309
 B"00110111", B"11110111", B"11101110", B"11010000", B"11011001",
2310
 B"11110001", B"00100110", B"00110000", B"11110100", B"00010101",
2311
 B"11010111", B"11001101", B"00011100", B"00110101", B"10111101",
2312
 B"11101101", B"11101101", B"00001101", B"10111101", B"11100011",
2313
 B"00011110", B"11111100", B"11011100", B"11110110", B"00111101",
2314
 B"00011111", B"11001111", B"11111100", B"11011011", B"00001111",
2315
 B"01010011", B"11101010", B"01000010", B"00100001", B"11111101",
2316
 B"11111000", B"00110001", B"11100010", B"00100111", B"11101100",
2317
 B"11010101", B"11111101", B"00000111", B"11101100", B"11111111",
2318
 B"00000001", B"00101010", B"11110010", B"11011110", B"00000111",
2319
 B"00111101", B"00011000", B"11010001", B"00001111", B"00000110",
2320
 B"11110011", B"11000111", B"00011110", B"00011111", B"11001101",
2321
 B"00101101", B"00000001", B"11101000", B"11010111", B"00101000",
2322
 B"11110101", B"11100100", B"00111011", B"11001101", B"00110000",
2323
 B"11001101", B"11011001", B"11100010", B"00100000", B"00100101",
2324
 B"11011110", B"00001010", B"11011001", B"11000111", B"11011100",
2325
 B"00100101", B"00110010", B"00011000", B"00110100", B"11010110",
2326
 B"00011001", B"00001100", B"11100011", B"00111011", B"00001100",
2327
 B"11010110", B"00001100", B"11111101", B"11101001", B"00100010",
2328
 B"11100011", B"11011111", B"00000111", B"10111110", B"00110110",
2329
 B"11000000", B"11101100", B"11111110", B"10001111", B"00000000",
2330
 B"11111100", B"11010010", B"11001110", B"00011100", B"11110111",
2331
 B"00100111", B"11011110", B"00101010", B"11001101", B"00010001",
2332
 B"00001010", B"00011001", B"11111110", B"00011111", B"11011011",
2333
 B"11111000", B"11001010", B"00001010", B"11000100", B"11000011",
2334
 B"11011000", B"10110010", B"00010001", B"00100101", B"01000010",
2335
 B"00000111", B"00001001", B"00100110", B"11011101", B"00001111",
2336
 B"00000110", B"11011000", B"11101111", B"11101001", B"11010110",
2337
 B"11001011", B"11100101", B"11010001", B"00100010", B"00101001",
2338
 B"01000100", B"00110001", B"11010101", B"01001000", B"11011100",
2339
 B"11111101", B"11000110", B"00110001", B"00100011", B"10111101",
2340
 B"11001010", B"00010011", B"00011111", B"00101110", B"11111011",
2341
 B"00101011", B"11010010", B"00000110", B"00100010", B"00100011",
2342
 B"00100101", B"00101110", B"00010001", B"01000010", B"00110111",
2343
 B"00000101", B"00100011", B"11100011", B"00001011", B"00100000",
2344
 B"11110110", B"00000011", B"00001101", B"11110010", B"00110111",
2345
 B"11101011", B"11101000", B"00010001", B"11110101", B"11111111",
2346
 B"10110111", B"11001110", B"00011101", B"00101010", B"01000111",
2347
 B"11010110", B"00011001", B"11001110", B"00000010", B"11001101",
2348
 B"00111110", B"00011010", B"11011001", B"00011100", B"00011000",
2349
 B"11111101", B"11111111", B"00100100", B"00111111", B"11111000",
2350
 B"11111010", B"00000111", B"11101011", B"11100101", B"00010011",
2351
 B"11101101", B"00101011", B"11110010", B"11001001", B"00000101",
2352
 B"11110111", B"11101010", B"10111110", B"11000110", B"00111010",
2353
 B"11010001", B"00101100", B"01001010", B"11101001", B"11111100",
2354
 B"00010110", B"11011100", B"11110010", B"11011011", B"11010000",
2355
 B"10111000", B"00101001", B"00011000", B"00110101", B"00100000",
2356
 B"00101100", B"11011011", B"11011000", B"00100100", B"00001001",
2357
 B"11100000", B"11100100", B"11001110", B"00010111", B"00001101",
2358
 B"00110100", B"11010000", B"00001010", B"11011011", B"01000001",
2359
 B"11011010", B"00011101", B"00101100", B"11011101", B"00100001",
2360
 B"00000111", B"11010111", B"11101101", B"01000000", B"11001100",
2361
 B"01010010", B"00010111", B"11110111", B"10111011", B"11100110",
2362
 B"00000010", B"10111010", B"11111010", B"00100011", B"11101101",
2363
 B"10111001", B"01000100", B"00101101", B"11110010", B"11001111",
2364
 B"00110001", B"00010011", B"00000001", B"11100101", B"00111011",
2365
 B"01010001", B"11000111", B"11010111", B"00011010", B"11100111",
2366
 B"00100010", B"01000110", B"11001111", B"01011101", B"00010001",
2367
 B"11001100", B"00010111", B"11001001", B"10111111", B"10011111",
2368
 B"00001110", B"00011111", B"11101100", B"00011000", B"00111101",
2369
 B"11010100", B"00100010", B"00111000", B"11000010", B"01010110",
2370
 B"01010101", B"11100111", B"11100010", B"00000101", B"11011110",
2371
 B"11110111", B"00011100", B"00011000", B"01001010", B"00101010",
2372
 B"00000011", B"11010100", B"00000110", B"00010000", B"00000000",
2373
 B"00101100", B"00010001", B"11011011", B"01000000", B"11111111",
2374
 B"00100100", B"10111001", B"11000000", B"11001001", B"11100010",
2375
 B"00011100", B"00111011", B"11011111", B"00110010", B"00110011",
2376
 B"11010110", B"00100010", B"00011101", B"00011001", B"00010110",
2377
 B"01000010", B"00001010", B"00100111", B"11110100", B"00111111",
2378
 B"11111000", B"00101111", B"00100011", B"00010110", B"11110101",
2379
 B"11000101", B"00000000", B"00010010", B"11101010", B"11010011",
2380
 B"11010100", B"00100001", B"00010101", B"00001001", B"11111100",
2381
 B"11101101", B"11000111", B"00000111", B"00110000", B"00001111",
2382
 B"11111011", B"11100001", B"00100011", B"00111011", B"00000010",
2383
 B"11000101", B"00111100", B"11010111", B"11101010", B"00010100",
2384
 B"11100011", B"11111110", B"11100001", B"11110010", B"11100010",
2385
 B"01001101", B"00101101", B"11101010", B"11101001", B"11011101",
2386
 B"00000001", B"11001111", B"11111001", B"11010001", B"01001100",
2387
 B"10101111", B"11011011", B"10011011", B"00000001", B"11111011",
2388
 B"11100110", B"11011110", B"11110110", B"11110011", B"11011011",
2389
 B"11010100", B"11011011", B"11110111", B"00011011", B"00101011",
2390
 B"00011001", B"01000100", B"00001011", B"00110011", B"11100110",
2391
 B"00001100", B"11000010", B"00100001", B"11000110", B"11110010",
2392
 B"11011001", B"00001111", B"00111011", B"11010010", B"00111001",
2393
 B"00001001", B"11110010", B"00010000", B"11111010", B"11010101",
2394
 B"11100001", B"00011110", B"00010100", B"00111010", B"00011101",
2395
 B"00100110", B"00101110", B"00110110", B"00101011", B"00010110",
2396
 B"11111101", B"11111001", B"11101001", B"00011001", B"00111110",
2397
 B"11110000", B"11100000", B"00010000", B"00001110", B"00011001",
2398
 B"11010111", B"00101010", B"00011001", B"11000100", B"11011111",
2399
 B"00010111", B"11110111", B"11101011", B"11011000", B"00011000",
2400
 B"00101001", B"00000100", B"00100001", B"11000010", B"01001001",
2401
 B"11111111", B"00000100", B"00001010", B"11101100", B"01001110",
2402
 B"00110100", B"10110001", B"00001011", B"00011110", B"11100100",
2403
 B"00101011", B"00000000", B"11011100", B"11100101", B"00000011",
2404
 B"00010101", B"11001011", B"00010000", B"00100110", B"11111001",
2405
 B"00101000", B"00001110", B"11110100", B"00011101", B"11100000",
2406
 B"10110001", B"00100011", B"11101001", B"10111101", B"11101111",
2407
 B"11001001", B"11110000", B"00100010", B"00000111", B"11111011",
2408
 B"11011011", B"11011011", B"11110001", B"11111000", B"10111111",
2409
 B"11100101", B"00010111", B"11101001", B"00110000", B"11000001",
2410
 B"00000000", B"01000011", B"11011101", B"00100000", B"11001110",
2411
 B"00000011", B"11100100", B"11001010", B"00010011", B"00101001",
2412
 B"00000010", B"11001110", B"10101111", B"11110010", B"00100010",
2413
 B"01000100", B"00001001", B"00001011", B"11101001", B"11111000",
2414
 B"00111000", B"11100010", B"11111101", B"11011011", B"11000110",
2415
 B"00110011", B"00100100", B"11011111", B"11000000", B"00000110",
2416
 B"11111010", B"10111101", B"11100010", B"00000110", B"00000100",
2417
 B"11001011", B"00000001", B"00001111", B"10100010", B"00100000",
2418
 B"00101101", B"11010100", B"11111110", B"11011101", B"11010001",
2419
 B"11101001", B"11110010", B"00101000", B"11100011", B"00010100",
2420
 B"00001010", B"11110010", B"11011110", B"11101011", B"10111001",
2421
 B"00010000", B"11110011", B"11111101", B"11101110", B"00110110",
2422
 B"11111111", B"00011111", B"11100001", B"00000110", B"11100000",
2423
 B"00100111", B"00111010", B"11100010", B"10110110", B"00000011",
2424
 B"11001101", B"00101001", B"11110011", B"11111100", B"11101001",
2425
 B"00101010", B"00001011", B"00010110", B"11010000", B"00011010",
2426
 B"11001110", B"00001110", B"00001011", B"00111011", B"11001000",
2427
 B"00001000", B"00000011", B"11000011", B"10111001", B"01000001",
2428
 B"00001001", B"11011001", B"10111101", B"01001011", B"11111011",
2429
 B"11001011", B"11001001", B"11010010", B"00000111", B"00110101",
2430
 B"00100010", B"01000110", B"11110110", B"00101111", B"11100011",
2431
 B"00001111", B"11110011", B"00110011", B"00011010", B"11110001",
2432
 B"11011100", B"11100011", B"11110110", B"11101101", B"00011110",
2433
 B"00000110", B"11101010", B"11100101", B"00001000", B"00001001",
2434
 B"10111010", B"11001011", B"11100011", B"11001101", B"00101011",
2435
 B"00111001", B"00101011", B"00110010", B"11001011", B"11100100",
2436
 B"00000010", B"00001001", B"11011110", B"00101100", B"00111110",
2437
 B"11100010", B"11001100", B"01001010", B"11010110", B"00101111",
2438
 B"11101011", B"00001000", B"00011000", B"11001101", B"11100000",
2439
 B"01010010", B"00001001", B"11100101", B"11001000", B"11011000",
2440
 B"00111111", B"00011001", B"11111110", B"00011111", B"00010000",
2441
 B"00010101", B"11110101", B"11010010", B"00101111", B"00101100",
2442
 B"11011001", B"11100010", B"00011000", B"00101101", B"00001010",
2443
 B"00011010", B"00011001", B"00111101", B"11101100", B"00001101",
2444
 B"11010111", B"11011111", B"11101111", B"11100010", B"00100011",
2445
 B"00110101", B"00001001", B"00000101", B"11001010", B"11000110",
2446
 B"00001100", B"00011001", B"11111111", B"00011111", B"10110010",
2447
 B"11110001", B"00001000", B"00010001", B"01000011", B"11101000",
2448
 B"00000011", B"11110000", B"00100101", B"11100000", B"11100010",
2449
 B"00001110", B"01001001", B"00101011", B"11110110", B"00010111",
2450
 B"11101000", B"11100101", B"00010111", B"11101100", B"00001010",
2451
 B"11010111", B"00011011", B"11010101", B"00100100", B"11100110",
2452
 B"11000010", B"00010010", B"00110011", B"00011000", B"11100010",
2453
 B"00111000", B"11100111", B"00111000", B"00001000", B"11011101",
2454
 B"11010011", B"00110001", B"11011010", B"11100100", B"11101000",
2455
 B"00011110", B"11100000", B"00111010", B"11001110", B"00100010",
2456
 B"11001111", B"11110000", B"00011001", B"00111100", B"00011101",
2457
 B"10110111", B"11010100", B"11110100", B"00011010", B"00001111",
2458
 B"00010011", B"00011110", B"00000011", B"00000100", B"00010100",
2459
 B"00011010", B"11110110", B"00100001", B"00100010", B"11100100",
2460
 B"00100110", B"10110100", B"11000100", B"11010110", B"11010111",
2461
 B"00111000", B"11110110", B"00011001", B"00110001", B"00011000",
2462
 B"11101101", B"00110101", B"00010101", B"11101100", B"11101000",
2463
 B"01001000", B"00100011", B"00000010", B"11001101", B"11010111",
2464
 B"11110101", B"00100110", B"11100101", B"00000011", B"11011001",
2465
 B"01100010", B"00110001", B"00000001", B"11100000", B"11110001",
2466
 B"00000001", B"11010100", B"00110000", B"10100101", B"11111100",
2467
 B"00010110", B"11011100", B"01011000", B"01000000", B"10101100",
2468
 B"00100001", B"11111110", B"11110100", B"11111001", B"00010100",
2469
 B"11111011", B"00010111", B"11110010", B"11110100", B"00011010",
2470
 B"00100000", B"00011011", B"11100100", B"00111000", B"11101100",
2471
 B"01010111", B"00011101", B"11101100", B"00010110", B"00010010",
2472
 B"11100110", B"00111010", B"00101010", B"11010010", B"11111000",
2473
 B"11000010", B"11010111", B"00111001", B"01010011", B"11100001",
2474
 B"00101001", B"11010110", B"00100001", B"00011110", B"11100010",
2475
 B"00100111", B"00101001", B"11010011", B"10101100", B"00111101",
2476
 B"00001111", B"11011101", B"11010110", B"00010010", B"00010010",
2477
 B"11100110", B"00010111", B"11011001", B"00100111", B"11110100",
2478
 B"11111001", B"00100011", B"10111101", B"11110110", B"00000001",
2479
 B"11010101", B"11111111", B"00100000", B"00001100", B"00000101",
2480
 B"00000000", B"00011000", B"11100100", B"00101011", B"00001100",
2481
 B"11011010", B"11111001", B"00001010", B"00001010", B"11010000",
2482
 B"11000101", B"11001011", B"00010011", B"11010011", B"00011001",
2483
 B"11011110", B"11010111", B"11111001", B"11011010", B"00010101",
2484
 B"00001100", B"11101011", B"11111001", B"00010111", B"11100101",
2485
 B"11010110", B"11101101", B"11001000", B"00110011", B"00110110",
2486
 B"00010001", B"11111011", B"00011001", B"11101101", B"11111011",
2487
 B"11110011", B"11111100", B"11011011", B"11101100", B"00101010",
2488
 B"10111011", B"11100010", B"11110100", B"10100001", B"00101111",
2489
 B"00011101", B"00100110", B"11010101", B"00001011", B"11010000",
2490
 B"00010110", B"11100101", B"11011010", B"11100010", B"00011111",
2491
 B"11101110", B"00101000", B"11110100", B"11011010", B"00110111",
2492
 B"11111011", B"00100001", B"00000001", B"00111011", B"11111111",
2493
 B"00110101", B"00000100", B"01000101", B"00000111", B"00001000",
2494
 B"11110000", B"11101000", B"00011100", B"00110001", B"11110000",
2495
 B"00111110", B"11011000", B"00110101", B"00100111", B"11000010",
2496
 B"10110010", B"11101110", B"11011011", B"11111110", B"01000001",
2497
 B"00011111", B"11010101", B"11100111", B"00100010", B"00010111",
2498
 B"11010001", B"11110101", B"00011010", B"00111101", B"11001110",
2499
 B"11101000", B"00110010", B"00101011", B"11101010", B"11101110",
2500
 B"11010000", B"00101001", B"11110000", B"11101100", B"11011010",
2501
 B"00100100", B"00010011", B"11100110", B"11101101", B"11000100",
2502
 B"11001110", B"11010100", B"00110110", B"00011011", B"00010100",
2503
 B"00010101", B"00001001", B"11110001", B"00001111", B"01000010",
2504
 B"00011111", B"11111001", B"11111000", B"11110000", B"11010010",
2505
 B"00010001", B"00010101", B"11101111", B"11011100", B"11101110",
2506
 B"11001000", B"11001100", B"11100000", B"10101111", B"00010100",
2507
 B"11110110", B"00010111", B"11011110", B"11001101", B"00111100",
2508
 B"11101110", B"11110010", B"11101100", B"00001001", B"00000000",
2509
 B"00110010", B"11111010", B"00011100", B"00100001", B"00100001",
2510
 B"11100101", B"00111110", B"00000000", B"11011010", B"11110101",
2511
 B"00110110", B"11101011", B"11110011", B"00100001", B"11100101",
2512
 B"00010011", B"11101011", B"11001011", B"00010010", B"00011110",
2513
 B"00010101", B"10110101", B"00000010", B"00111110", B"10100100",
2514
 B"11010100", B"00110001", B"11011010", B"00011100", B"11101011",
2515
 B"00110111", B"11000111", B"00010000", B"01010010", B"00110100",
2516
 B"00111001", B"11110110", B"00111011", B"00001101", B"11001111",
2517
 B"00100111", B"00011001", B"11100001", B"11001110", B"00010110",
2518
 B"00101011", B"00101111", B"10111010", B"00101110", B"11000000",
2519
 B"00000001", B"00010001", B"00100010", B"11111100", B"00011110",
2520
 B"01000001", B"00111111", B"00010010", B"11111011", B"00100100",
2521
 B"00001100", B"00001001", B"00101100", B"00100100", B"00100001",
2522
 B"00100001", B"00000100", B"11100010", B"11111011", B"00011010",
2523
 B"00001001", B"00010100", B"00110110", B"11000110", B"10111010",
2524
 B"11111000", B"00101010", B"11100111", B"10101011", B"10101110",
2525
 B"00101011", B"00010001", B"11000110", B"00101111", B"11011001",
2526
 B"00011000", B"00001000", B"10101110", B"00100000", B"00010110",
2527
 B"11000101", B"00100100", B"00001010", B"11010011", B"11010110",
2528
 B"00111001", B"00010011", B"11111101", B"11000011", B"00100011",
2529
 B"11100100", B"00101011", B"00010110", B"11010011", B"00101011",
2530
 B"00011000", B"11010100", B"00000101", B"11101001", B"00011001",
2531
 B"11100010", B"11010010", B"00001011", B"11110110", B"11011000",
2532
 B"11111100", B"00011001", B"01000000", B"11001101", B"11010000",
2533
 B"11011000", B"11111101", B"11010111", B"00100011", B"00011110",
2534
 B"11010001", B"11011111", B"00011000", B"11111010", B"00001010",
2535
 B"10101000", B"11010100", B"00011111", B"00100110", B"11100111",
2536
 B"11011101", B"11010001", B"11111100", B"11000010", B"00001011",
2537
 B"11101111", B"11100110", B"00110110", B"00000011", B"10111100",
2538
 B"10111000", B"11101001", B"11100000", B"11100100", B"11011110",
2539
 B"11101111", B"00101110", B"00011111", B"00101100", B"00010100",
2540
 B"11110001", B"00111100", B"11011011", B"11110100", B"11101111",
2541
 B"00100001", B"00110111", B"11011111", B"00101100", B"00011100",
2542
 B"01000010", B"11101111", B"00111011", B"00000000", B"00100010",
2543
 B"10110110", B"11001111", B"01000000", B"11100110", B"11011100",
2544
 B"11010100", B"00100000", B"00010110", B"11100010", B"00001010",
2545
 B"00110010", B"00110100", B"00110110", B"00100011", B"00101010",
2546
 B"00100011", B"00101001", B"00010110", B"11000101", B"11010101",
2547
 B"11111100", B"11101001", B"11100000", B"11100101", B"11101001",
2548
 B"00010010", B"11101111", B"00110010", B"10101110", B"11001111",
2549
 B"00000000", B"00001101", B"00101101", B"00011000", B"11100010",
2550
 B"11000001", B"11100101", B"11101010", B"00100111", B"11100101",
2551
 B"00011001", B"11111101", B"11111011", B"00100111", B"00000100",
2552
 B"11110000", B"11100001", B"00111011", B"00101010", B"11010011",
2553
 B"11101000", B"00000010", B"11000000", B"00100100", B"11010011",
2554
 B"11100000", B"00011001", B"00010111", B"00011100", B"11100000",
2555
 B"11110010", B"00010000", B"00111111", B"11101111", B"11100001",
2556
 B"00111011", B"11010000", B"11101100", B"00001011", B"11111111",
2557
 B"11000111", B"11011011", B"11011000", B"11101000", B"11000110",
2558
 B"00010010", B"00101010", B"00101000", B"00001111", B"11101011",
2559
 B"11010100", B"11001110", B"11011010", B"00010000", B"11001000",
2560
 B"11011000", B"11111000", B"11101110", B"00001111", B"11011101",
2561
 B"11010111", B"11100100", B"00101101", B"00011001", B"11000100",
2562
 B"00001100", B"11001010", B"11101111", B"11000101", B"11110101",
2563
 B"00000010", B"00100111", B"00010011", B"00100100", B"11111100",
2564
 B"11110011", B"11010011", B"00101101", B"11101111", B"00000101",
2565
 B"11111111", B"11011001", B"00010110", B"00110111", B"11100010",
2566
 B"11100110", B"00101001", B"11011100", B"00000101", B"11110010",
2567
 B"11101110", B"11101110", B"00001001", B"11101000", B"00000011",
2568
 B"11011001", B"11010101", B"01001101", B"00010010", B"00001000",
2569
 B"11111100", B"00110111", B"11001110", B"11101010", B"11111010",
2570
 B"00011110", B"00011100", B"11011000", B"00001110", B"00000100",
2571
 B"00011110", B"00000100", B"00100101", B"11011111", B"00010110",
2572
 B"11111100", B"11111111", B"11111111", B"00100011", B"11000101",
2573
 B"11100001", B"00111111", B"00101100", B"00011001", B"00011010",
2574
 B"00010110", B"00110111", B"00011011", B"11111111", B"01000101",
2575
 B"11110110", B"11010011", B"00111010", B"11001011", B"11011111",
2576
 B"11101111", B"00111100", B"00101001", B"11010111", B"11010110",
2577
 B"11100101", B"00000011", B"00011011", B"00010011", B"00011101",
2578
 B"11001101", B"11011010", B"11101110", B"11111110", B"00010000",
2579
 B"00101001", B"11111101", B"00110010", B"11001111", B"00111010",
2580
 B"11101011", B"11001100", B"11111000", B"11010001", B"01000001",
2581
 B"00011011", B"00100111", B"00010111", B"01000101", B"11010111",
2582
 B"00110000", B"11010110", B"11011000", B"11100100", B"00101111",
2583
 B"00001011", B"00011110", B"11110111", B"11001100", B"11100110",
2584
 B"11111110", B"00010011", B"10111001", B"01000100", B"11100111",
2585
 B"00010100", B"11110000", B"11001101", B"00000000", B"00100111",
2586
 B"00101011", B"11100001", B"11111111", B"11101100", B"00001111",
2587
 B"11110101", B"11001101", B"11110101", B"00101011", B"00011110",
2588
 B"10110001", B"11111011", B"00001110", B"11011100", B"00111010",
2589
 B"11001100", B"11011101", B"00011100", B"11100011", B"11000101",
2590
 B"11100001", B"00010100", B"00001000", B"11100101", B"00101000",
2591
 B"11101000", B"01011111", B"11111101", B"00101001", B"11100100",
2592
 B"11010111", B"11011101", B"00010000", B"00111001", B"11010010",
2593
 B"00100111", B"11111100", B"00010100", B"11110001", B"00010101",
2594
 B"11100001", B"00000110", B"00100011", B"00101010", B"11011111",
2595
 B"11011011", B"00101101", B"00110010", B"00001101", B"11010000",
2596
 B"11001101", B"11011001", B"01001000", B"11011000", B"00111111",
2597
 B"11100001", B"11000110", B"01000110", B"11011111", B"00011110",
2598
 B"11100010", B"00011111", B"00110100", B"00000010", B"00110011",
2599
 B"11101000", B"00110011", B"11010100", B"10101101", B"11111001",
2600
 B"11011110", B"11011111", B"11000010", B"11010111", B"11001001",
2601
 B"11011110", B"00000010", B"00100010", B"00111001", B"00101110",
2602
 B"11101011", B"11010100", B"11011101", B"11100100", B"11000100",
2603
 B"00111100", B"11111110", B"11011101", B"00100101", B"11100011",
2604
 B"00100001", B"00100011", B"00110110", B"00100001", B"00010111",
2605
 B"00010000", B"00100001", B"00011011", B"11110011", B"00101100",
2606
 B"11111101", B"11101000", B"11101100", B"00100101", B"00010110",
2607
 B"11100000", B"11100100", B"00100111", B"11001011", B"00001000",
2608
 B"11000110", B"00011011", B"00010010", B"11010111", B"00000010",
2609
 B"00100011", B"00111000", B"00100111", B"00010001", B"11101011",
2610
 B"00001111", B"00011101", B"00101101", B"00011011", B"00001101",
2611
 B"11100001", B"00001000", B"11011111", B"00011111", B"11101000",
2612
 B"01000001", B"00110001", B"11000011", B"11010011", B"00011110",
2613
 B"00101000", B"11010101", B"11100110", B"11001110", B"11111011",
2614
 B"00000110", B"11101001", B"00011000", B"11110011", B"11001011",
2615
 B"00010111", B"00011101", B"01000000", B"11111110", B"11011010",
2616
 B"11111101", B"00111000", B"11101101", B"00010100", B"11111011",
2617
 B"11100111", B"11100100", B"11011111", B"00100000", B"00111001",
2618
 B"00011101", B"00110111", B"11100001", B"00011100", B"01000000",
2619
 B"00001000", B"11101100", B"00100011", B"11010010", B"00010010",
2620
 B"11110010", B"11001100", B"00100100", B"11010001", B"00101111",
2621
 B"11010100", B"11100100", B"00111110", B"11011000", B"00011010",
2622
 B"00000101", B"11001010", B"11101100", B"11101100", B"11110111",
2623
 B"11001101", B"11011100", B"11110000", B"11011110", B"11110100",
2624
 B"00111110", B"11011100", B"00011110", B"11101111", B"11000001",
2625
 B"11101101", B"00110100", B"00100100", B"00111001", B"00101101",
2626
 B"11000001", B"11000100", B"00110011", B"11110101", B"00011100",
2627
 B"11111101", B"11101000", B"01000101", B"00101011", B"11111000",
2628
 B"00010110", B"11011010", B"11100110", B"11100100", B"11010110",
2629
 B"00000011", B"11010111", B"00010111", B"00110000", B"00011000",
2630
 B"11101011", B"00111001", B"00010111", B"11110110", B"11111110",
2631
 B"11111100", B"00010011", B"00100010", B"11100110", B"00001111",
2632
 B"00010110", B"11111011", B"11101001", B"00000110", B"11110111",
2633
 B"00100110", B"00111101", B"11010010", B"11011010", B"11010001",
2634
 B"11001110", B"11010000", B"00110010", B"11011110", B"11011001",
2635
 B"11011111", B"11011100", B"00001111", B"11000011", B"00100011",
2636
 B"11011111", B"11011110", B"00011000", B"11011000", B"01010111",
2637
 B"11111000", B"11101000", B"00010010", B"00101001", B"10111110",
2638
 B"00110001", B"11100101", B"11100010", B"11000110", B"11101110",
2639
 B"00101001", B"00100110", B"00001011", B"00101001", B"00000110",
2640
 B"11101011", B"00111110", B"00110100", B"11101110", B"00100100",
2641
 B"00111100", B"11010100", B"00100010", B"00110010", B"11001110",
2642
 B"10111101", B"00100101", B"11010100", B"00010110", B"00011011",
2643
 B"00011010", B"11110001", B"00110111", B"11010111", B"11100101",
2644
 B"11010111", B"00000100", B"00000100", B"00101100", B"00011101",
2645
 B"00101101", B"00010000", B"00010001", B"11100011", B"00000011",
2646
 B"00011101", B"11100010", B"11010010", B"11001101", B"00000001",
2647
 B"11000100", B"11101110", B"00110101", B"11111010", B"11100010",
2648
 B"11010000", B"00011111", B"11111110", B"11010111", B"00100011",
2649
 B"11110000", B"11100001", B"00111100", B"10111110", B"11101101",
2650
 B"10111000", B"11001011", B"11101000", B"11011110", B"11001100",
2651
 B"00101110", B"00001001", B"11000010", B"00011100", B"11110011",
2652
 B"00100001", B"11100111", B"00110000", B"00110001", B"11101001",
2653
 B"00100110", B"00010010", B"11010010", B"00111111", B"00001110",
2654
 B"11010000", B"11100111", B"01000011", B"00010011", B"11101011",
2655
 B"00001110", B"11101001", B"11110010", B"00011010", B"00101001",
2656
 B"00101000", B"00110010", B"11101100", B"11000010", B"00101100",
2657
 B"00001001", B"00001011", B"11101110", B"00101010", B"11011010",
2658
 B"11111110", B"11100111", B"00010111", B"11011010", B"11011101",
2659
 B"00000100", B"11110101", B"00111000", B"11010111", B"11110001",
2660
 B"00101000", B"00110001", B"00010001", B"01010010", B"00101111",
2661
 B"01001111", B"00111101", B"00000010", B"11100011", B"00001110",
2662
 B"01010011", B"11101011", B"11100100", B"10111110", B"11100100",
2663
 B"11110010", B"11011000", B"11001010", B"00110111", B"11011010",
2664
 B"00110110", B"10111100", B"10110100", B"00110110", B"11110010",
2665
 B"00101011", B"00101100", B"00001010", B"01010101", B"00100001",
2666
 B"00001100", B"00100001", B"00000000", B"11100100", B"11111001",
2667
 B"11001101", B"00110001", B"00101100", B"00100100", B"00110011",
2668
 B"00010110", B"00010100", B"11001110", B"11110101", B"11111011",
2669
 B"00101000", B"11010110", B"11101101", B"11010110", B"00101011",
2670
 B"00100111", B"00110011", B"11111110", B"01000101", B"11010000",
2671
 B"11100001", B"11100100", B"00010000", B"11100001", B"11001111",
2672
 B"11100101", B"00110010", B"00110110", B"11100101", B"00100010",
2673
 B"00000101", B"01000101", B"11011101", B"01000000", B"11011111",
2674
 B"00101100", B"11111101", B"00101110", B"11111101", B"00100101",
2675
 B"11011010", B"11110011", B"11001101", B"00101101", B"11110011",
2676
 B"00101101", B"00101110", B"00100000", B"11111010", B"11101011",
2677
 B"11000001", B"00101111", B"11010010", B"11111011", B"11111110",
2678
 B"11010110", B"01001111", B"01000011", B"11111010", B"00111100",
2679
 B"10100111", B"11101000", B"11010011", B"00000110", B"00001000",
2680
 B"00100010", B"00111110", B"11010110", B"11110101", B"00101000",
2681
 B"10100110", B"00111101", B"11001010", B"11110001", B"11100001",
2682
 B"00110100", B"00000100", B"10111010", B"11010100", B"11111011",
2683
 B"00101111", B"00100110", B"11111111", B"00011010", B"11001111",
2684
 B"00001000", B"00001101", B"11101010", B"00111011", B"11001110",
2685
 B"11110100", B"11001010", B"11101001", B"00111100", B"00101011",
2686
 B"11100000", B"11100010", B"00100010", B"00101011", B"11011101",
2687
 B"00010011", B"11110101", B"11101111", B"00011111", B"00000100",
2688
 B"11111001", B"00010100", B"01001010", B"11000110", B"00001110",
2689
 B"11111001", B"01001000", B"11101011", B"11011010", B"11011100",
2690
 B"11110100", B"00111110", B"00100001", B"11010011", B"11010010",
2691
 B"11000110", B"11101110", B"00010111", B"00100001", B"00000110",
2692
 B"11111001", B"11111101", B"11101000", B"00001110", B"11110001",
2693
 B"11011111", B"00011110", B"11101100", B"00101101", B"11100101",
2694
 B"00100110", B"11011000", B"11011101", B"11011100", B"00000000",
2695
 B"11111111", B"00110110", B"00011111", B"11010100", B"10010111",
2696
 B"00011110", B"11111101", B"11111011", B"11111110", B"11010000",
2697
 B"11111111", B"11011010", B"11011001", B"11110011", B"11110101",
2698
 B"00101011", B"11001011", B"00010001", B"11011101", B"00010011",
2699
 B"00101111", B"00001010", B"00111100", B"00101101", B"11001100",
2700
 B"11111001", B"11011010", B"00001000", B"00101010", B"01000011",
2701
 B"11101101", B"00111000", B"11101110", B"00010101", B"00001111",
2702
 B"11111000", B"00011110", B"11010100", B"11011101", B"11010111",
2703
 B"11111011", B"11001001", B"11101010", B"00011100", B"00011101",
2704
 B"00000110", B"00010100", B"11101001", B"11010001", B"11001001",
2705
 B"00101010", B"11101111", B"00001000", B"10101001", B"11111110",
2706
 B"11110110", B"00100001", B"00010111", B"11100011", B"11010001",
2707
 B"10110110", B"11010100", B"00110011", B"11010111", B"00110111",
2708
 B"11010111", B"11011011", B"11001111", B"11001111", B"01000111",
2709
 B"00001111", B"11111101", B"00010001", B"11100110", B"11110110",
2710
 B"00101110", B"00101110", B"00100011", B"00111111", B"10111000",
2711
 B"11011111", B"00101001", B"00101001", B"11100111", B"11111010",
2712
 B"00010111", B"00011001", B"11010100", B"10111010", B"11000101",
2713
 B"00110111", B"11100001", B"00000011", B"10110010", B"00110110",
2714
 B"01010111", B"11100100", B"11001111", B"11001100", B"00100011",
2715
 B"00000000", B"00011000", B"11100101", B"11000000", B"00100111",
2716
 B"11010010", B"11100110", B"01000100", B"11010100", B"00011111",
2717
 B"11100100", B"11101101", B"00001100", B"11010010", B"00001001",
2718
 B"10111001", B"00000100", B"11001111", B"11111011", B"00011110",
2719
 B"11010111", B"11101001", B"00011100", B"00000011", B"00000011",
2720
 B"11001101", B"00101110", B"00100111", B"11110001", B"11011100",
2721
 B"11010101", B"00100000", B"00000111", B"01000000", B"00110111",
2722
 B"11001110", B"11010111", B"00110100", B"00001000", B"00111101",
2723
 B"11001001", B"11010100", B"11001100", B"00010101", B"01000011",
2724
 B"00101111", B"11111001", B"00000111", B"11011001", B"00101001",
2725
 B"11001110", B"00100011", B"11110100", B"00000011", B"00000001",
2726
 B"00000011", B"00001100", B"10101101", B"00010100", B"11101011",
2727
 B"00101111", B"00010000", B"11101000", B"00011000", B"11000101",
2728
 B"00010011", B"11110001", B"11101100", B"00010111", B"11001000",
2729
 B"11100110", B"01000001", B"00100110", B"00011001", B"00100001",
2730
 B"11010100", B"00010011", B"11001110", B"11011101", B"00011100",
2731
 B"01001111", B"00100100", B"00101101", B"11011011", B"11011010",
2732
 B"00010111", B"00101010", B"00000011", B"11011100", B"00100111",
2733
 B"11100100", B"00000001", B"00001111", B"00010110", B"11100110",
2734
 B"01000000", B"11110111", B"00001001", B"10110011", B"11100100",
2735
 B"11110101", B"11001100", B"11100101", B"11110001", B"01001111",
2736
 B"00100000", B"11011010", B"00010111", B"11101100", B"11110101",
2737
 B"00000001", B"00001011", B"11001110", B"11010011", B"11010011",
2738
 B"00100001", B"01000011", B"00100111", B"11001101", B"11101001",
2739
 B"00101101", B"11100010", B"11110101", B"00001010", B"11100100",
2740
 B"00100101", B"00101100", B"10011111", B"00101011", B"00101110",
2741
 B"11001000", B"11111011", B"00110000", B"10110111", B"00100101",
2742
 B"00111010", B"00010010", B"11100001", B"00011100", B"11001101",
2743
 B"00111111", B"11001111", B"11111111", B"00011101", B"00011010",
2744
 B"00100011", B"00101011", B"11101010", B"11010111", B"11101111",
2745
 B"11100011", B"00100110", B"10111111", B"11111100", B"11010010",
2746
 B"11010000", B"00111110", B"11111101", B"11111011", B"00010001",
2747
 B"11000011", B"11011000", B"11100000", B"00100011", B"00011000",
2748
 B"11110101", B"00000110", B"00100110", B"11010111", B"11110000",
2749
 B"11101111", B"11100001", B"11101010", B"11111110", B"00000110",
2750
 B"11100111", B"00101110", B"11110100", B"11011110", B"11000000",
2751
 B"11101100", B"11100000", B"00101101", B"00000011", B"00100101",
2752
 B"11100010", B"00011110", B"11101010", B"00101000", B"11100011",
2753
 B"00111000", B"11001100", B"11000001", B"11011111", B"00010000",
2754
 B"00110100", B"11011011", B"00010001", B"11011010", B"11101110",
2755
 B"00111000", B"11100001", B"01000101", B"00110000", B"11101100",
2756
 B"00011101", B"11101011", B"01000011", B"11011101", B"11111010",
2757
 B"10110010", B"00110001", B"00000000", B"00000111", B"11101111",
2758
 B"11110101", B"00010011", B"11110001", B"00111001", B"00110010",
2759
 B"11100110", B"11000101", B"11101110", B"00010111", B"11010010",
2760
 B"00111100", B"00110110", B"00001100", B"01000100", B"10100010",
2761
 B"11010110", B"11100100", B"00100001", B"00100111", B"11101010",
2762
 B"01000010", B"11101100", B"11010100", B"00001001", B"00110000",
2763
 B"00101010", B"11101100", B"00011110", B"00000010", B"00101101",
2764
 B"11100111", B"00110011", B"01001010", B"00001100", B"11000010",
2765
 B"11111111", B"11100101", B"00111100", B"00100100", B"00010101",
2766
 B"01001111", B"00111111", B"11111000", B"00101000", B"00010111",
2767
 B"00010001", B"00100010", B"11111000", B"00011000", B"11010010",
2768
 B"00101001", B"10101101", B"00000010", B"11011000", B"11000101",
2769
 B"11100010", B"00000111", B"00110011", B"00010110", B"00100001",
2770
 B"10011110", B"10111110", B"00000011", B"11110101", B"00011110",
2771
 B"00010111", B"00100101", B"11111101", B"11001001", B"11001111",
2772
 B"00010100", B"11100010", B"00101111", B"11000000", B"11111010",
2773
 B"11011000", B"00011010", B"00100011", B"11101110", B"00101001",
2774
 B"00100100", B"11100110", B"11101000", B"10111101", B"00010110",
2775
 B"11011001", B"11000010", B"00101001", B"11111000", B"11100100",
2776
 B"11000010", B"11111000", B"11101100", B"11001110", B"11011000",
2777
 B"11110111", B"01000011", B"11110100", B"11010111", B"11010010",
2778
 B"11010111", B"01001101", B"00110011", B"00110011", B"00000101",
2779
 B"01010100", B"00011111", B"00100000", B"00100000", B"00011101",
2780
 B"01010001", B"00001101", B"11000110", B"00101111", B"00111010",
2781
 B"11010101", B"11000010", B"00110101", B"11101011", B"11111110",
2782
 B"00100101", B"11001001", B"11011110", B"11101101", B"11000010",
2783
 B"11100011", B"00000011", B"00111111", B"00000100", B"11000011",
2784
 B"11110010", B"11110100", B"00011100", B"10111000", B"11111011",
2785
 B"00101100", B"00001001", B"11110101", B"11010111", B"10111000",
2786
 B"11011000", B"11111111", B"00010110", B"11011001", B"11011100",
2787
 B"11101011", B"11010110", B"00100110", B"10110110", B"11110101",
2788
 B"11000111", B"11000001", B"11011110", B"11011110", B"00011101",
2789
 B"00011110", B"00011110", B"11111101", B"11010100", B"11110110",
2790
 B"00001111", B"11010110", B"00101100", B"11101010", B"11010100",
2791
 B"00011110", B"00110011", B"00011010", B"00111101", B"11101001",
2792
 B"00010000", B"11100101", B"11110111", B"11001011", B"00011010",
2793
 B"00101111", B"11000110", B"00010111", B"00011011", B"11101110",
2794
 B"11010010", B"00100001", B"00010001", B"00111101", B"11001000",
2795
 B"11100011", B"00000111", B"00110011", B"11101100", B"11001000",
2796
 B"00011010", B"00100100", B"11101111", B"00010101", B"00101001",
2797
 B"11110001", B"11110110", B"00010110", B"00110100", B"00100000",
2798
 B"00010111", B"11010100", B"00101100", B"11011011", B"00110011",
2799
 B"11011111", B"00100001", B"11101110", B"11001111", B"11110100",
2800
 B"11110100", B"11100010", B"11100110", B"11110101", B"11110110",
2801
 B"11110111", B"11111111", B"11101001", B"00010010", B"00010110",
2802
 B"00011101", B"00110101", B"00110100", B"00010000", B"11111011",
2803
 B"11111111", B"00101010", B"11111000", B"00000100", B"11111100",
2804
 B"00011111", B"00101011", B"00111000", B"11010000", B"11111000",
2805
 B"11001111", B"11111110", B"11100000", B"11011110", B"00110100",
2806
 B"00000111", B"00100110", B"11101010", B"00101010", B"00000100",
2807
 B"00010101", B"11101000", B"00110010", B"11011110", B"10111110",
2808
 B"11010000", B"00010110", B"11101011", B"11011000", B"11111010",
2809
 B"00100101", B"11011011", B"00100100", B"11101000", B"11100100",
2810
 B"00110000", B"00110010", B"00100110", B"11111000", B"00100111",
2811
 B"01000000", B"10110000", B"01000101", B"00000000", B"00000011",
2812
 B"11100101", B"00011010", B"00011011", B"11011011", B"11101000",
2813
 B"00000010", B"11011010", B"11011010", B"00100110", B"11000010",
2814
 B"01010001", B"00110000", B"11100011", B"00010000", B"00100100",
2815
 B"11100000", B"11010011", B"11111011", B"11100111", B"00011111",
2816
 B"00101010", B"11110001", B"00100010", B"11101001", B"11010000",
2817
 B"00110101", B"11101000", B"00001110", B"11001010", B"11101010",
2818
 B"01000111", B"11111111", B"10101010", B"11111110", B"00010000",
2819
 B"01000110", B"11111110", B"00100001", B"00001000", B"00111000",
2820
 B"11110100", B"11100001", B"00101111", B"00010111", B"00001000",
2821
 B"00001101", B"11000010", B"00000011", B"00001111", B"00110000",
2822
 B"11100110", B"00111101", B"00111111", B"11100011", B"00000011",
2823
 B"00110101", B"00010111", B"11100111", B"00101100", B"01000010",
2824
 B"11110100", B"00000011", B"11000101", B"11101010", B"00101100",
2825
 B"11010000", B"00010011", B"11110001", B"11100001", B"11101001",
2826
 B"00000100", B"00101111", B"11011001", B"11010100", B"00101110",
2827
 B"00100111", B"00001001", B"00100110", B"11110001", B"10111101",
2828
 B"11010010", B"11000111", B"00000001", B"11101100", B"00011110",
2829
 B"01000101", B"00110110", B"00001111", B"00100011", B"11010110",
2830
 B"00111101", B"00001111", B"11000010", B"00010000", B"00101110",
2831
 B"11001001", B"11001101", B"01011010", B"11011001", B"11110010",
2832
 B"11111010", B"00101001", B"00001011", B"11110010", B"00011100",
2833
 B"00011101", B"00101010", B"11111110", B"00100001", B"00011110",
2834
 B"11110100", B"00100100", B"00010000", B"11010101", B"00010110",
2835
 B"11011010", B"11110000", B"11000101", B"00010101", B"00111101",
2836
 B"00100111", B"11000001", B"11010001", B"11000111", B"11010110",
2837
 B"01001101", B"11001010", B"00101101", B"00011100", B"00000110",
2838
 B"00100001", B"11011110", B"00111111", B"11001010", B"01000010",
2839
 B"00000000", B"00110001", B"11010010", B"11100111", B"01000010",
2840
 B"11011000", B"00000000", B"11011110", B"11011000", B"11111111",
2841
 B"11101111", B"00100011", B"11010000", B"11100001", B"11101011",
2842
 B"00001100", B"00111001", B"00110011", B"11000011", B"11100011",
2843
 B"00100100", B"11111100", B"11010111", B"11001111", B"11011001",
2844
 B"11010110", B"00010001", B"11001001", B"00001000", B"11100011",
2845
 B"00110010", B"00101111", B"11101010", B"11011000", B"11100111",
2846
 B"11001111", B"00000101", B"00000100", B"00100000", B"00000100",
2847
 B"00111100", B"11101010", B"11001011", B"00000110", B"00000111",
2848
 B"00100111", B"11111100", B"11100000", B"00010101", B"00010111",
2849
 B"11110111", B"11110111", B"11100010", B"00000111", B"00100000",
2850
 B"00010111", B"00101110", B"00010110", B"00011110", B"11101100",
2851
 B"11111111", B"11101111", B"11010111", B"00111110", B"01010111",
2852
 B"00101011", B"11110001", B"11010100", B"00010111", B"11100100",
2853
 B"11111011", B"11000100", B"11100101", B"00010010", B"00011001",
2854
 B"11000011", B"11100110", B"11111100", B"00100001", B"11110010",
2855
 B"10111010", B"00001010", B"01000001", B"00011000", B"11111111",
2856
 B"00110111", B"00001110", B"00100111", B"00001101", B"00001010",
2857
 B"00101110", B"00101111", B"11111110", B"00000011", B"11110011",
2858
 B"00001011", B"11000100", B"00011111", B"11110010", B"00100111",
2859
 B"11011110", B"11010011", B"11100010", B"00111100", B"00010100",
2860
 B"11101010", B"11111011", B"01100000", B"11101001", B"11010100",
2861
 B"11011110", B"11101011", B"11010110", B"10110111", B"00011100",
2862
 B"00100100", B"11100110", B"11111001", B"11010011", B"11010010",
2863
 B"11110001", B"11110000", B"00100000", B"00110110", B"11010101",
2864
 B"00000010", B"10010011", B"11011111", B"11100001", B"00011111",
2865
 B"00011100", B"00011000", B"11101101", B"00001000", B"11110100",
2866
 B"00001001", B"11011010", B"00001111", B"11110010", B"00011001",
2867
 B"00010100", B"00010011", B"00000110", B"11110001", B"11101111",
2868
 B"11101101", B"00110100", B"11001100", B"00011101", B"11101101",
2869
 B"11101011", B"01000010", B"00011100", B"01001101", B"11110010",
2870
 B"11011000", B"01000011", B"11001111", B"10111111", B"11100100",
2871
 B"11100100", B"00100011", B"00001110", B"11001111", B"11101001",
2872
 B"00010011", B"01001011", B"11010000", B"10111101", B"10111010",
2873
 B"11011011", B"11100001", B"10111101", B"00110000", B"00001011",
2874
 B"00000110", B"00011011", B"00001110", B"00011001", B"11010111",
2875
 B"00001110", B"01000000", B"11111101", B"11001011", B"00100000",
2876
 B"11000101", B"00011101", B"00100101", B"11000111", B"11101011",
2877
 B"11101001", B"11110010", B"11011010", B"11001000", B"11101001",
2878
 B"11010111", B"11111100", B"00100000", B"11100011", B"00111100",
2879
 B"11010111", B"11000011", B"11101100", B"11010010", B"11011111",
2880
 B"00100011", B"00010101", B"00101010", B"00100111", B"00110001",
2881
 B"00100000", B"00111101", B"00010010", B"00101001", B"00101011",
2882
 B"01001111", B"00000111", B"11100011", B"00000110", B"11100000",
2883
 B"11001111", B"11010010", B"00001111", B"01000001", B"11100001",
2884
 B"00000110", B"11001000", B"00000000", B"00010000", B"11001100",
2885
 B"11011110", B"11001101", B"11100100", B"11100100", B"00110100",
2886
 B"00100111", B"11010000", B"00100100", B"11001001", B"11010001",
2887
 B"10100110", B"11011001", B"11011111", B"01000110", B"11110100",
2888
 B"00101101", B"11100010", B"11000101", B"00111101", B"00000110",
2889
 B"00000111", B"11110001", B"11010001", B"00001111", B"00100010",
2890
 B"11010100", B"00000100", B"00001101", B"00011011", B"11101000",
2891
 B"00010011", B"00110001", B"11110001", B"00000100", B"00010010",
2892
 B"11010001", B"10100001", B"00110010", B"00001010", B"00000111",
2893
 B"00100100", B"11110001", B"11110000", B"11111110", B"11010101",
2894
 B"00011000", B"11011110", B"00111000", B"11011010", B"11001111",
2895
 B"00101011", B"11110000", B"01010001", B"00101001", B"00001110",
2896
 B"11101001", B"00101000", B"11010101", B"00010010", B"00110101",
2897
 B"00000111", B"00110100", B"11101000", B"00110000", B"11111000",
2898
 B"00011111", B"11101100", B"00011000", B"00010110", B"11110101",
2899
 B"11010100", B"01001100", B"00010010", B"11111010", B"11101011",
2900
 B"00100101", B"11101010", B"00100101", B"11001000", B"11100100",
2901
 B"11010100", B"00101100", B"00100010", B"00001010", B"00001000",
2902
 B"11100111", B"00111001", B"11001011", B"11011110", B"11101111",
2903
 B"00011000", B"11100010", B"00011101", B"00001010", B"11000001",
2904
 B"11100001", B"11111011", B"11100110", B"10111101", B"00010001",
2905
 B"11011101", B"11110001", B"11011001", B"11000111", B"11110011",
2906
 B"11011001", B"00001111", B"11011010", B"00101100", B"11101101",
2907
 B"00001000", B"11110100", B"11010100", B"00001011", B"00101101",
2908
 B"00011100", B"00110101", B"11011001", B"00010111", B"00011110",
2909
 B"11011100", B"11100100", B"00100010", B"11011001", B"00100101",
2910
 B"00001101", B"00101001", B"10100101", B"00111001", B"11100000",
2911
 B"00011101", B"00111100", B"11001101", B"00010110", B"00011101",
2912
 B"11110011", B"11110100", B"00111001", B"11100101", B"11011011",
2913
 B"11010001", B"11100101", B"11101000", B"00111111", B"00010101",
2914
 B"00100010", B"00110010", B"00100101", B"00101101", B"11101110",
2915
 B"00101011", B"00001100", B"11110110", B"11001110", B"00000110",
2916
 B"00100000", B"00100001", B"00011010", B"00101100", B"11111001",
2917
 B"01101001", B"11110111", B"00010110", B"00011110", B"00011100",
2918
 B"11100111", B"11101001", B"01000001", B"00011001", B"11110011",
2919
 B"11111010", B"11111111", B"00111001", B"11010100", B"00001000",
2920
 B"11111000", B"11010000", B"00011101", B"00001001", B"00001001",
2921
 B"00110001", B"11010000", B"00100000", B"11011001", B"11010001",
2922
 B"00011000", B"00101010", B"11100001", B"11100010", B"00000111",
2923
 B"11001001", B"00101010", B"11000110", B"11101100", B"00000111",
2924
 B"00001110", B"11000111", B"11000111", B"11111001", B"11010010",
2925
 B"00101001", B"11101000", B"00111110", B"11011000", B"00010010",
2926
 B"11011111", B"00000000", B"11001011", B"00100100", B"01001000",
2927
 B"11001001", B"00100010", B"00100000", B"11100010", B"11101011",
2928
 B"00010010", B"00010110", B"11100111", B"11011000", B"00110001",
2929
 B"11100111", B"01010111", B"11111111", B"11000101", B"00101100",
2930
 B"00101000", B"11010000", B"11110100", B"00000000", B"11011100",
2931
 B"11010000", B"00011100", B"00111001", B"00100111", B"00010001",
2932
 B"11001000", B"11101010", B"11101000", B"00100111", B"00010101",
2933
 B"00000011", B"00011101", B"11100001", B"11111111", B"00010111",
2934
 B"11010011", B"11100101", B"11101110", B"00100100", B"11100000",
2935
 B"00110010", B"00111000", B"11010010", B"11010110", B"11111001",
2936
 B"11110100", B"00100010", B"11001111", B"00100100", B"00101011",
2937
 B"11111011", B"11100110", B"00000011", B"11110101", B"11100011",
2938
 B"11110010", B"01000111", B"00101101", B"00001100", B"11011000",
2939
 B"11000111", B"00011000", B"01000110", B"11001010", B"11011010",
2940
 B"00000110", B"11101111", B"11011101", B"11010101", B"11101111",
2941
 B"00011010", B"11101001", B"11101010", B"11100110", B"10011001",
2942
 B"00111001", B"01000001", B"00001101", B"00110100", B"11100110",
2943
 B"00001010", B"00111100", B"00101010", B"11011010", B"00101010",
2944
 B"00010010", B"11001001", B"11010111", B"00111100", B"00101100",
2945
 B"00000001", B"00110010", B"11001110", B"11000101", B"10111000",
2946
 B"00011111", B"00101000", B"11100101", B"11111000", B"11011001",
2947
 B"00010001", B"00001011", B"00000010", B"00011110", B"00010011",
2948
 B"00100000", B"10110110", B"11011100", B"00001101", B"11001111",
2949
 B"11001101", B"11100111", B"11001101", B"11010111", B"11100011",
2950
 B"11011100", B"11001000", B"00000000", B"00001010", B"00101001",
2951
 B"00011101", B"11010011", B"11111000", B"00100110", B"11011100",
2952
 B"00110000", B"11010001", B"11101010", B"00101110", B"00110000",
2953
 B"11100111", B"00011010", B"11100000", B"00000100", B"10111110",
2954
 B"00100011", B"00001011", B"11111011", B"11010101", B"00111000",
2955
 B"00011101", B"00101001", B"00011101", B"11010100", B"11011100",
2956
 B"11010011", B"00011111", B"11011111", B"10110110", B"11101110",
2957
 B"00010111", B"11111111", B"10111101", B"11010000", B"00011010",
2958
 B"00110100", B"11010100", B"11001110", B"00110000", B"00110001",
2959
 B"11100011", B"11111000", B"00010100", B"11101011", B"11000100",
2960
 B"11010111", B"00100110", B"01001001", B"11101010", B"11100001",
2961
 B"11010010", B"00000100", B"00111110", B"00010110", B"10111110",
2962
 B"00000111", B"11110101", B"00011101", B"11100000", B"00100000",
2963
 B"00100101", B"00001110", B"00001100", B"00100011", B"11010010",
2964
 B"00100011", B"11100100", B"11110000", B"10101101", B"11101100",
2965
 B"00111000", B"11001101", B"11101111", B"00101001", B"00101101",
2966
 B"11110010", B"01000110", B"00001101", B"11000011", B"11100101",
2967
 B"00011111", B"11000110", B"11100011", B"00100100", B"00001000",
2968
 B"00100001", B"00010011", B"11001011", B"11010101", B"11111011",
2969
 B"11100010", B"00011001", B"11101011", B"00011001", B"11100111",
2970
 B"11100110", B"00000111", B"11001111", B"00111001", B"11101010",
2971
 B"00100110", B"11011010", B"00111000", B"11100010", B"00000011",
2972
 B"00100000", B"00111011", B"00010111", B"00101011", B"00001000",
2973
 B"00100111", B"00010001", B"00100010", B"00111000", B"11110000",
2974
 B"11001010", B"00011101", B"11010100", B"11101111", B"11100101",
2975
 B"10110010", B"11011011", B"00000110", B"11110001", B"11111101",
2976
 B"11011000", B"11100010", B"00000110", B"11110000", B"00010010",
2977
 B"00011110", B"11010000", B"11110101", B"00011101", B"11110111",
2978
 B"10100110", B"00010001", B"00100110", B"00010111", B"00101111",
2979
 B"11011000", B"00010001", B"11011010", B"01000011", B"11010010",
2980
 B"11000111", B"11110000", B"00000001", B"11001111", B"00110011",
2981
 B"00000000", B"00100010", B"00111101", B"00010110", B"00100101",
2982
 B"11100011", B"00100110", B"00111011", B"11111010", B"11101000",
2983
 B"00010001", B"11101111", B"11110101", B"00010011", B"11111100",
2984
 B"01000100", B"00011111", B"11110101", B"01001111", B"00100000",
2985
 B"11111011", B"00001111", B"11101111", B"00011110", B"10110000",
2986
 B"00011110", B"11010010", B"00000100", B"10111110", B"01000001",
2987
 B"10111111", B"11101010", B"11010100", B"00111110", B"00011101",
2988
 B"00011011", B"11110100", B"11001110", B"00010010", B"10011101",
2989
 B"00101110", B"11110000", B"00000000", B"11011110", B"00001101",
2990
 B"00001101", B"00100001", B"11000011", B"00001011", B"11100011",
2991
 B"11111001", B"10110010", B"00100101", B"11100100", B"00000101",
2992
 B"10100111", B"11000100", B"00100111", B"01000001", B"11111111",
2993
 B"01100101", B"00001100", B"11111010", B"00101011", B"00111111",
2994
 B"11111100", B"00011111", B"11111011", B"00000110", B"11100000",
2995
 B"00100100", B"01100001", B"11010010", B"00010000", B"11010000",
2996
 B"11011100", B"11010010", B"11111100", B"11001010", B"00100010",
2997
 B"00100011", B"00111000", B"00011101", B"11010011", B"11101110",
2998
 B"11101011", B"11110110", B"01000010", B"00010010", B"00111000",
2999
 B"00010010", B"10110100", B"11010001", B"11011000", B"11100000",
3000
 B"00001010", B"01000100", B"00011000", B"00110101", B"11001100",
3001
 B"00010110", B"11000110", B"11011110", B"11101001", B"00001001",
3002
 B"00001000", B"11100010", B"10111110", B"11010101", B"11100100",
3003
 B"00000000", B"00101010", B"11110001", B"00100011", B"11011011",
3004
 B"00001100", B"00011001", B"11100001", B"11111111", B"11100111",
3005
 B"00011010", B"11101101", B"11111101", B"11010001", B"11101111",
3006
 B"11111111", B"11101010", B"11101111", B"00011011", B"00001010",
3007
 B"00001110", B"11111110", B"00101101", B"00010101", B"11101011",
3008
 B"00010001", B"11100000", B"00011010", B"11011111", B"11101001",
3009
 B"01010000", B"00010011", B"11110001", B"11011110", B"11100110",
3010
 B"11001111", B"11001110", B"00011110", B"00001101", B"00010110",
3011
 B"11111001", B"11111001", B"11010010", B"00101101", B"00100111",
3012
 B"00111110", B"00011001", B"11101010", B"11000011", B"00111101",
3013
 B"00000001", B"11110110", B"10101110", B"11111111", B"00001100",
3014
 B"00010110", B"11010110", B"00111101", B"11010101", B"00001000",
3015
 B"11011011", B"00110100", B"11011101", B"11111000", B"00011110",
3016
 B"11010011", B"11011000", B"11011111", B"11100111", B"11101010",
3017
 B"00100011", B"11100001", B"11111010", B"11011111", B"00000100",
3018
 B"11111001", B"11101111", B"00110010", B"00111010", B"11000010",
3019
 B"11110100", B"00010011", B"11010000", B"10111010", B"00000101",
3020
 B"00011111", B"11011011", B"11011011", B"11000111", B"11100110",
3021
 B"00010011", B"11100011", B"00110111", B"10111000", B"11100100",
3022
 B"11111111", B"10111101", B"00101001", B"00101111", B"00011010",
3023
 B"00011010", B"11010110", B"11000110", B"00100100", B"11011011",
3024
 B"00100011", B"00001100", B"11011100", B"00100100", B"11101001",
3025
 B"11110110", B"11010100", B"00110110", B"00110000", B"11100011",
3026
 B"00101001", B"10111111", B"00010010", B"11011000", B"11001111",
3027
 B"11111100", B"00010001", B"11010001", B"11111001", B"11000100",
3028
 B"11100111", B"00101111", B"11011001", B"00001001", B"11110000",
3029
 B"11100101", B"00101110", B"00101111", B"11010111", B"11000110",
3030
 B"11110111", B"00001111", B"01001100", B"11110111", B"01000110",
3031
 B"11101000", B"11111010", B"11110011", B"00010010", B"00100010",
3032
 B"00000111", B"00110100", B"11101001", B"11101111", B"11010011",
3033
 B"11100001", B"00100110", B"00010100", B"11111010", B"11111000",
3034
 B"11000000", B"11100100", B"11101110", B"11100100", B"10111101",
3035
 B"00101100", B"00100010", B"11111000", B"00001111", B"00000100",
3036
 B"00000000", B"11110011", B"11100111", B"11001010", B"00011111",
3037
 B"00001011", B"00011101", B"00100000", B"00001010", B"00101000",
3038
 B"00111110", B"11010011", B"11111100", B"11010100", B"00110001",
3039
 B"11110100", B"11101111", B"00101001", B"11001111", B"00100000",
3040
 B"11010110", B"11011010", B"00111101", B"01010100", B"00101110",
3041
 B"11100111", B"00001010", B"11001101", B"11100011", B"00010000",
3042
 B"10111110", B"00000111", B"00101010", B"11100100", B"10111110",
3043
 B"11110001", B"10101010", B"00010110", B"11000111", B"00011011",
3044
 B"11010101", B"00101111", B"11010111", B"11100111", B"11101110",
3045
 B"00111110", B"11110111", B"10111111", B"11100100", B"00011100",
3046
 B"00010111", B"00000001", B"11100110", B"00011110", B"11001110",
3047
 B"00010110", B"11110101", B"00100101", B"10111010", B"11011101",
3048
 B"11101110", B"00110000", B"00001111", B"11011110", B"00111010",
3049
 B"00010101", B"00110111", B"00111100", B"00100000", B"00100110",
3050
 B"01001000", B"00000011", B"00011100", B"11111110", B"11110111",
3051
 B"11000000", B"00101001", B"00001010", B"11010111", B"11110100",
3052
 B"00000011", B"11011111", B"00001101", B"00001100", B"10111000",
3053
 B"00000111", B"01000100", B"11010011", B"11001000", B"00101110",
3054
 B"00110011", B"11101110", B"11011010", B"00000111", B"11100011",
3055
 B"11110000", B"00100111", B"11011110", B"11100011", B"00011101",
3056
 B"11100111", B"11011111", B"11001010", B"11101101", B"00110000",
3057
 B"00010111", B"11110011", B"00111101", B"01000110", B"00110011",
3058
 B"11001110", B"00011001", B"11001010", B"01001001", B"00001000",
3059
 B"00101010", B"11000010", B"00100000", B"11011111", B"00110001",
3060
 B"00111001", B"00000011", B"00011110", B"00010001", B"00100110",
3061
 B"11110001", B"00000100", B"10111000", B"11100011", B"11110101",
3062
 B"00101111", B"11000010", B"00100110", B"11000001", B"11000111",
3063
 B"00101110", B"11101110", B"11010010", B"01000001", B"11000100",
3064
 B"00010001", B"11101000", B"11101010", B"00101000", B"10111101",
3065
 B"00101010", B"11011001", B"01100001", B"11100011", B"11101101",
3066
 B"00100011", B"00010011", B"00110101", B"11011100", B"00101000",
3067
 B"11101011", B"00010101", B"11011001", B"00111100", B"11011001",
3068
 B"11101010", B"11101001", B"00100001", B"00101010", B"00111000",
3069
 B"00111001", B"10110110", B"11011000", B"00111001", B"00101001",
3070
 B"00001101", B"00000111", B"00100100", B"01000000", B"11100000",
3071
 B"00011000", B"11100011", B"10111111", B"00111011", B"11011101",
3072
 B"00110110", B"10110111", B"00010000", B"00100010", B"00010000",
3073
 B"00011101", B"11110000", B"00011111", B"01001100", B"10100011",
3074
 B"11111011", B"00010101", B"11111111", B"11001001", B"00000101",
3075
 B"11100010", B"00111101", B"00101000", B"00111001", B"01000001",
3076
 B"11000101", B"00101001", B"00001001", B"11100101", B"11001110",
3077
 B"11101110", B"10111010", B"10111111", B"11000011", B"11101000",
3078
 B"00011000", B"00111001", B"10111111", B"00000110", B"11010101",
3079
 B"00000110", B"00101010", B"11110010", B"00010101", B"01010001",
3080
 B"11111000", B"00110111", B"00010010", B"11110110", B"11010000",
3081
 B"11101101", B"11010010", B"10111111", B"00111010", B"00100010",
3082
 B"11110101", B"00011110", B"00101001", B"11010111", B"11110001",
3083
 B"11001110", B"11011010", B"00011111", B"11111010", B"00011001",
3084
 B"11010001", B"00101110", B"11110001", B"11100111", B"11101011",
3085
 B"00010010", B"00100100", B"11101101", B"00110001", B"11010011",
3086
 B"11011101", B"00010001", B"10110111", B"11001101", B"11000011",
3087
 B"11011001", B"00011010", B"01000110", B"00110000", B"01000011",
3088
 B"01000001", B"00001000", B"00010111", B"00101101", B"00111010",
3089
 B"01000101", B"00000000", B"00000011", B"00110110", B"11001000",
3090
 B"00000001", B"11010100", B"00111010", B"00001001", B"11001010",
3091
 B"01000011", B"00100001", B"11100011", B"11011101", B"01001010",
3092
 B"11011111", B"11111001", B"00010010", B"11100000", B"00001100",
3093
 B"11000011", B"10101011", B"00101101", B"00000011", B"11100011",
3094
 B"00000111", B"11010101", B"11101101", B"11001111", B"11011101",
3095
 B"11101111", B"00010111", B"11001000", B"00000100", B"11111111",
3096
 B"11011001", B"11100110", B"11101010", B"11011111", B"00011000",
3097
 B"11100011", B"00011100", B"11101110", B"11100111", B"11011000",
3098
 B"01001110", B"00010111", B"00010110", B"11101100", B"11000001",
3099
 B"11001000", B"00100000", B"00100000", B"00111101", B"00100011",
3100
 B"00101111", B"00010100", B"11011001", B"11101001", B"00000000",
3101
 B"00111111", B"00010001", B"11110011", B"11011111", B"11010111",
3102
 B"11001100", B"00100111", B"00010000", B"11100000", B"01001101",
3103
 B"11101101", B"00010110", B"11010101", B"00100010", B"11011011",
3104
 B"11001111", B"11101010", B"00110100", B"00010010", B"11110101",
3105
 B"00010000", B"11110101", B"00111001", B"11100001", B"11100111",
3106
 B"00101000", B"00001101", B"11010010", B"00011101", B"11111011",
3107
 B"00100010", B"01010110", B"00111101", B"11100000", B"11100000",
3108
 B"11110111", B"11001100", B"00010010", B"11000101", B"11010011",
3109
 B"11110101", B"11110011", B"00011111", B"11011100", B"11100001",
3110
 B"01000010", B"00010111", B"00101111", B"00110011", B"11101010",
3111
 B"11011111", B"00001101", B"11000110", B"11100010", B"00100011",
3112
 B"11011011", B"11010001", B"11000001", B"11001000", B"11110111",
3113
 B"00010101", B"11110010", B"00000111", B"00011110", B"11110001",
3114
 B"11001110", B"11110111", B"00111001", B"00111110", B"00101101",
3115
 B"11110000", B"00110011", B"11001100", B"00111100", B"11000100",
3116
 B"11111101", B"00110011", B"00111010", B"00010100", B"00101011",
3117
 B"00000101", B"00101110", B"00101011", B"11010000", B"11100111",
3118
 B"01101101", B"11011101", B"11110110", B"11000001", B"10111000",
3119
 B"00001000", B"11110011", B"00101101", B"00110010", B"11101000",
3120
 B"11011000", B"10111101", B"10111010", B"11110010", B"00111100",
3121
 B"11111001", B"00101111", B"11111000", B"00010000", B"11010110",
3122
 B"00100001", B"11101101", B"00110001", B"11011111", B"01010100",
3123
 B"01001001", B"00001000", B"00100100", B"11111011", B"10110011",
3124
 B"11100110", B"11111001", B"11101100", B"00000111", B"00001110",
3125
 B"11001101", B"00011100", B"10111111", B"10110011", B"00110010",
3126
 B"00110000", B"00011000", B"10101010", B"00011001", B"11100111",
3127
 B"00001110", B"00010011", B"11110100", B"11100001", B"00100111",
3128
 B"11100011", B"11101001", B"11110011", B"11000100", B"11000011",
3129
 B"11011100", B"00100111", B"00001100", B"00100011", B"00011000",
3130
 B"11001011", B"11010110", B"11001001", B"00100010", B"00110100",
3131
 B"00001100", B"11011100", B"00111110", B"11011110", B"00000110",
3132
 B"00000100", B"11100101", B"00010101", B"00011001", B"00011101",
3133
 B"00100100", B"11001010", B"11011110", B"00111110", B"11110010",
3134
 B"11100111", B"11101000", B"11101000", B"00111001", B"11110100",
3135
 B"00011001", B"11111010", B"00110010", B"00110110", B"11010110",
3136
 B"11101111", B"11110100", B"11011010", B"11010101", B"11001010",
3137
 B"00010001", B"01010000", B"11101011", B"10110110", B"11001100",
3138
 B"11110010", B"11011001", B"00010001", B"11100010", B"11000000",
3139
 B"00100001", B"00001001", B"00001111", B"11011000", B"11010100",
3140
 B"11100110", B"00001100", B"11100101", B"00000000", B"11110010",
3141
 B"11101000", B"00110000", B"00010010", B"00010110", B"00011110",
3142
 B"00011110", B"11011011", B"00100110", B"10110110", B"00100000",
3143
 B"11100011", B"00000111", B"11100101", B"11000111", B"00001100",
3144
 B"11011111", B"11110100", B"11010101", B"11111110", B"01000111",
3145
 B"00000010", B"00010001", B"11101101", B"00100011", B"11001000",
3146
 B"00110100", B"11100101", B"00100100", B"11000101", B"00110111",
3147
 B"11111010", B"11101100", B"11001011", B"11111100", B"00111010",
3148
 B"11010111", B"00010111", B"00100100", B"01001000", B"10100101",
3149
 B"00100010", B"11100010", B"11111000", B"00001000", B"11001000",
3150
 B"00010101", B"11101010", B"11011000", B"11011011", B"00010000",
3151
 B"01001100", B"00110101", B"11011100", B"00101101", B"00001010",
3152
 B"11011101", B"11110100", B"00111001", B"00101011", B"00000101",
3153
 B"11101101", B"10101110", B"11111100", B"11110010", B"00001000",
3154
 B"11110011", B"00010011", B"00100011", B"00100001", B"00100111",
3155
 B"00110000", B"00110101", B"00111010", B"00000011", B"00001110",
3156
 B"00111000", B"11110011", B"11111100", B"11011010", B"11000101",
3157
 B"00101011", B"11101011", B"00001101", B"11111000", B"11011110",
3158
 B"01000100", B"11000010", B"00010011", B"11010101", B"11100010",
3159
 B"00101011", B"00010010", B"00011010", B"11110000", B"00100101",
3160
 B"00100110", B"11110111", B"11111111", B"00101001", B"00101111",
3161
 B"00010000", B"00110000", B"11100100", B"00111010", B"11101001",
3162
 B"11101011", B"11100001", B"00001110", B"11001011", B"00101010",
3163
 B"00111100", B"11100000", B"00101001", B"00010011", B"11011111",
3164
 B"00100101", B"00010001", B"10111011", B"11010011", B"00100110",
3165
 B"11111101", B"11111010", B"11101111", B"10111100", B"11110111",
3166
 B"11000110", B"00000001", B"00010010", B"11100100", B"01011011",
3167
 B"00010100", B"00100001", B"11101011", B"00001101", B"00100100",
3168
 B"11010101", B"00100000", B"00111001", B"11011010", B"11011010",
3169
 B"11110010", B"11110000", B"00101001", B"00101101", B"00000000",
3170
 B"11111111", B"10111011", B"11101110", B"00100010", B"00111000",
3171
 B"00000011", B"11011010", B"00100111", B"11111011", B"00001011",
3172
 B"00011010", B"11111101", B"11011011", B"01000010", B"11000011",
3173
 B"11111110", B"11000100", B"11110000", B"11010111", B"00110000",
3174
 B"00110100", B"11010100", B"00000011", B"11011111", B"11101010",
3175
 B"11110100", B"10111100", B"11100001", B"00010101", B"11011001",
3176
 B"11101100", B"11000101", B"10111011", B"11111001", B"00110000",
3177
 B"11010011", B"11101101", B"00110101", B"11101000", B"00010111",
3178
 B"00000000", B"11111001", B"00011111", B"11101110", B"11000111",
3179
 B"11001010", B"11100001", B"00100111", B"11100000", B"00101111",
3180
 B"11110101", B"00101100", B"11110011", B"00101110", B"11101100",
3181
 B"01001110", B"11101100", B"00011001", B"10110110", B"00010100",
3182
 B"11010110", B"11010100", B"10111001", B"00011110", B"00001101",
3183
 B"11100010", B"00001001", B"00011000", B"11000101", B"00110110",
3184
 B"00101100", B"11110000", B"11011110", B"00111111", B"00010011",
3185
 B"11101110", B"00000111", B"11100010", B"11001010", B"00001001",
3186
 B"10101001", B"01010101", B"00100101", B"00101000", B"11101101",
3187
 B"00001000", B"00011100", B"11101001", B"11000111", B"00101100",
3188
 B"11110011", B"00101100", B"00110011", B"00010001", B"11100100",
3189
 B"00101101", B"11101110", B"00001101", B"00001010", B"11011101",
3190
 B"11101111", B"00100000", B"10110111", B"11110110", B"11111000",
3191
 B"11001111", B"00110001", B"00101011", B"00010001", B"00110001",
3192
 B"00111110", B"00101101", B"00110001", B"00010111", B"11000010",
3193
 B"11110111", B"00100011", B"00100000", B"11001011", B"00011100",
3194
 B"00001010", B"00111011", B"11110110", B"11100010", B"01100010",
3195
 B"00010100", B"11100000", B"11000011", B"00010111", B"01001010",
3196
 B"11110000", B"11010011", B"00011110", B"11001000", B"00011110",
3197
 B"11011000", B"10110010", B"00111011", B"01000011", B"00000110",
3198
 B"11110110", B"00110000", B"00110110", B"11101111", B"11111011",
3199
 B"00010000", B"11100010", B"00000100", B"00001110", B"00001000",
3200
 B"00111010", B"11111111", B"11100000", B"00010010", B"11011110",
3201
 B"00011100", B"11010010", B"00101001", B"11000101", B"10100111",
3202
 B"00110101", B"00001010", B"00010111", B"00101110", B"00110001",
3203
 B"01000101", B"00010101", B"00001111", B"00010001", B"00100111",
3204
 B"11001011", B"11011010", B"11000101", B"11011110", B"00011000",
3205
 B"00001111", B"11011100", B"11111111", B"11010001", B"00111110",
3206
 B"01001001", B"11010101", B"00000011", B"11000001", B"11011011",
3207
 B"10111110", B"00100100", B"00100010", B"00011000", B"00101100",
3208
 B"00011010", B"00110111", B"00010010", B"00101011", B"11100001",
3209
 B"00010001", B"00001100", B"11011100", B"11110100", B"11111101",
3210
 B"00011101", B"11111101", B"00100111", B"11111100", B"00010001",
3211
 B"11100001", B"11001111", B"11010011", B"00000010", B"00111010",
3212
 B"00001010", B"11101101", B"11011101", B"10111011", B"11010110",
3213
 B"00110111", B"11110011", B"11111100", B"11011101", B"00001101",
3214
 B"00111011", B"00100111", B"11011100", B"11111010", B"00000000",
3215
 B"00000101", B"11100101", B"00000011", B"11011010", B"00011001",
3216
 B"00101101", B"00001000", B"11010111", B"10101100", B"00101100",
3217
 B"00001110", B"11110111", B"01001100", B"00001000", B"11100001",
3218
 B"11000001", B"00100010", B"00000111", B"00001111", B"00010000",
3219
 B"10111111", B"00101011", B"10100110", B"00011111", B"11001000",
3220
 B"00001110", B"00001011", B"11010010", B"00011000", B"00110111",
3221
 B"11010000", B"11011100", B"00000110", B"10111001", B"00110010",
3222
 B"11100011", B"00000110", B"11110110", B"00101111", B"00001100",
3223
 B"10110001", B"11100000", B"00101100", B"00100011", B"00100100",
3224
 B"11111000", B"11111000", B"10110000", B"00000001", B"00001110",
3225
 B"00011100", B"11101011", B"00001011", B"00101010", B"11100001",
3226
 B"00010100", B"00011010", B"01000001", B"00011010", B"00000011",
3227
 B"00011000", B"00100100", B"00010011", B"00011010", B"00110010",
3228
 B"00101011", B"11001111", B"00110010", B"01000010", B"00000100",
3229
 B"00001000", B"00101110", B"11100011", B"00000001", B"00010011",
3230
 B"11010010", B"00011011", B"00101010", B"00000100", B"11101111",
3231
 B"00001110", B"00010011", B"00111110", B"00001100", B"11100100",
3232
 B"00110111", B"11011100", B"00011111", B"00001010", B"00000101",
3233
 B"11011100", B"00110010", B"00101011", B"11010111", B"00010111",
3234
 B"00010011", B"11111000", B"11100110", B"00011010", B"11111110",
3235
 B"11011001", B"11100110", B"00111001", B"00010100", B"11011010",
3236
 B"00100001", B"00001111", B"00110100", B"11101011", B"00111101",
3237
 B"11001111", B"00001100", B"11001111", B"11010101", B"00000001",
3238
 B"00100101", B"01000001", B"00001010", B"00010101", B"11011101",
3239
 B"11101000", B"11101001", B"10111100", B"00101101", B"00001010",
3240
 B"00100110", B"00000111", B"11100100", B"11010110", B"11111110",
3241
 B"11100110", B"11010001", B"00101101", B"11010000", B"11001010",
3242
 B"11011111", B"11001000", B"11001011", B"00100101", B"11110011",
3243
 B"10111011", B"11101111", B"11100101", B"11011001", B"11101100",
3244
 B"00100110", B"11010100", B"00110101", B"00001100", B"11101111",
3245
 B"00100011", B"00111010", B"11001110", B"00101011", B"11101011",
3246
 B"00010100", B"11101000", B"11000001", B"11101011", B"00100011",
3247
 B"00000100", B"00000001", B"11010011", B"11101100", B"00100001",
3248
 B"11110111", B"00000101", B"00110010", B"11000111", B"11011110",
3249
 B"00110000", B"11001100", B"11111110", B"11111101", B"11100000",
3250
 B"00001010", B"00100110", B"00100011", B"00100011", B"00100100",
3251
 B"11011011", B"00100110", B"11001101", B"00100111", B"11011010",
3252
 B"00000101", B"11011000", B"11010101", B"00100101", B"11010011",
3253
 B"11010011", B"11000111", B"11110100", B"11111000", B"10111110",
3254
 B"00001001", B"11110100", B"11111101", B"00010110", B"00111101",
3255
 B"11111011", B"11111010", B"11011000", B"11100010", B"00010111",
3256
 B"11110011", B"11001010", B"00101100", B"11011101", B"00000001",
3257
 B"11100011", B"11101010", B"11111110", B"11110010", B"00010100",
3258
 B"11011110", B"00000011", B"11100101", B"11011101", B"10111010",
3259
 B"11010011", B"01001010", B"01010000", B"00100110", B"00101101",
3260
 B"11101111", B"11011100", B"11110100", B"11110010", B"00011110",
3261
 B"11101111", B"00110110", B"11100011", B"00111010", B"00000000",
3262
 B"00010101", B"11100100", B"00010101", B"11101100", B"00101000",
3263
 B"11010101", B"11100101", B"11011101", B"00000100", B"00110110",
3264
 B"11100000", B"00100010", B"11001101", B"11001101", B"00011000",
3265
 B"11010011", B"00011010", B"11101101", B"11001111", B"10110000",
3266
 B"00011001", B"00111101", B"00101010", B"00001010", B"00110011",
3267
 B"01000010", B"00000010", B"00011010", B"00101000", B"01000001",
3268
 B"11100001", B"11001011", B"00100101", B"00100110", B"00000101",
3269
 B"01000110", B"00000011", B"11100011", B"00011110", B"00101010",
3270
 B"11010111", B"11101101", B"00011001", B"00101100", B"11101100",
3271
 B"11111000", B"11111000", B"11111011", B"11111011", B"11000100",
3272
 B"11001000", B"11110101", B"11110100", B"11100000", B"00101001",
3273
 B"11000111", B"00010110", B"11110001", B"11011100", B"11111000",
3274
 B"00101101", B"00010110", B"00000111", B"11110110", B"00111010",
3275
 B"11011101", B"11010101", B"11101011", B"11110011", B"00111000",
3276
 B"11101110", B"11010101", B"00100001", B"00100010", B"00110010",
3277
 B"01000000", B"00000000", B"11000010", B"00110111", B"00110000",
3278
 B"00011000", B"01010010", B"00101110", B"00011100", B"00100100",
3279
 B"00010010", B"01011111", B"10111100", B"00010101", B"00110100",
3280
 B"11010000", B"00000011", B"00001001", B"11101011", B"11101011",
3281
 B"00010110", B"11101001", B"11101001", B"11001101", B"00110011",
3282
 B"00100011", B"11100000", B"11011111", B"00001000", B"11101011",
3283
 B"11101010", B"11110101", B"00011011", B"00011000", B"00001000",
3284
 B"01001011", B"01010000", B"11110110", B"11001101", B"00100101",
3285
 B"11010110", B"00010110", B"11100100", B"11011001", B"00101011",
3286
 B"00000010", B"11011100", B"11011111", B"00100000", B"00110111",
3287
 B"11011100", B"11100011", B"00100011", B"00110111", B"11101111",
3288
 B"11101010", B"11101000", B"11011111", B"11100001", B"10110101",
3289
 B"11100000", B"11000000", B"10111111", B"00011101", B"00101000",
3290
 B"00111010", B"00010100", B"00100100", B"11100001", B"11111001",
3291
 B"00011100", B"11111101", B"00101000", B"00011110", B"11110011",
3292
 B"11110001", B"00011100", B"00010101", B"11100111", B"00000100",
3293
 B"11100000", B"11011100", B"11101101", B"00011001", B"00011000",
3294
 B"00100010", B"11100100", B"00000010", B"00100011", B"11100010",
3295
 B"11100000", B"01000101", B"11111111", B"00110100", B"00001001",
3296
 B"00100110", B"00011110", B"00000110", B"00011110", B"11000100",
3297
 B"00011101", B"11100000", B"00011110", B"11011010", B"00010111",
3298
 B"00001001", B"00011111", B"11101011", B"00101111", B"00110011",
3299
 B"00010001", B"11000101", B"00101100", B"11011101", B"00011011",
3300
 B"00010100", B"11010010", B"10101011", B"00110011", B"11111001",
3301
 B"11011011", B"11011011", B"11011101", B"11000100", B"00011010",
3302
 B"01010111", B"00111001", B"11011101", B"00101100", B"11110101",
3303
 B"00010100", B"00111100", B"00100110", B"11110100", B"00101111",
3304
 B"01000000", B"00100010", B"00001110", B"00101100", B"11010110",
3305
 B"11111011", B"00001010", B"11001001", B"00100111", B"11010000",
3306
 B"11011111", B"00100110", B"00100101", B"11100111", B"00011111",
3307
 B"00101000", B"11100101", B"00100111", B"11111100", B"11010111",
3308
 B"11110100", B"11100001", B"00111010", B"00111111", B"00101100",
3309
 B"00011000", B"11111000", B"11000000", B"11100011", B"11010110",
3310
 B"11110100", B"00010010", B"00111011", B"11001110", B"00011011",
3311
 B"00001010", B"00011111", B"00101100", B"00010101", B"10111101",
3312
 B"11111111", B"11110111", B"00010111", B"11110010", B"00110010",
3313
 B"11110111", B"11110011", B"11001110", B"11101010", B"00101100",
3314
 B"11011100", B"11101100", B"00001111", B"00100111", B"00010001",
3315
 B"11100101", B"00100010", B"10110111", B"01000011", B"11001101",
3316
 B"00100011", B"10110011", B"00100011", B"11101101", B"11100110",
3317
 B"11101011", B"00001110", B"00001110", B"00100000", B"00010011",
3318
 B"00100100", B"11000010", B"00101011", B"11110111", B"00100011",
3319
 B"11010101", B"00010011", B"11110111", B"00101100", B"11110010",
3320
 B"11111001", B"11011111", B"00001101", B"11101100", B"11011010",
3321
 B"01000000", B"00111101", B"00100000", B"11101101", B"00011010",
3322
 B"00001001", B"00100001", B"11000101", B"00001011", B"11001000",
3323
 B"11010011", B"00101101", B"00010110", B"00101010", B"00101011",
3324
 B"11101000", B"00011100", B"01000000", B"00100000", B"11101010",
3325
 B"00100111", B"11000111", B"01011001", B"00001100", B"11101110",
3326
 B"11111000", B"11110110", B"11011011", B"00100000", B"11100000",
3327
 B"00100101", B"11111111", B"11100010", B"00011010", B"00100010",
3328
 B"00011001", B"00001011", B"11001011", B"11011101", B"11111111",
3329
 B"11010001", B"11011001", B"11000110", B"11011010", B"00100000",
3330
 B"11110110", B"00011101", B"11010001", B"00101110", B"11011010",
3331
 B"00011010", B"11101110", B"11001001", B"00100111", B"11111001",
3332
 B"00011100", B"00011100", B"00000100", B"11110001", B"00111110",
3333
 B"00000101", B"11010001", B"11100100", B"11110001", B"00000101",
3334
 B"11011011", B"00100000", B"11111101", B"11011010", B"11100101",
3335
 B"00110111", B"00011000", B"11111111", B"00101100", B"11111111",
3336
 B"00100001", B"11011111", B"11100001", B"00011010", B"01001011",
3337
 B"00010000", B"00000000", B"11011110", B"00001100", B"00011111",
3338
 B"00000011", B"11111000", B"00000001", B"00100111", B"11100110",
3339
 B"11010001", B"11010101", B"00111110", B"00101011", B"11000001",
3340
 B"00100001", B"11100001", B"00000101", B"00111011", B"11100101",
3341
 B"00101101", B"01010111", B"11010100", B"11100010", B"11010001",
3342
 B"00000010", B"11101101", B"00100010", B"00101011", B"00111101",
3343
 B"00101000", B"00100100", B"11101111", B"00111101", B"10100100",
3344
 B"00010101", B"10110011", B"11000100", B"01000111", B"11001010",
3345
 B"11111110", B"00000101", B"11111111", B"00011000", B"00010101",
3346
 B"11100001", B"11100101", B"11011111", B"01000111", B"00010001",
3347
 B"00101011", B"00001010", B"00110000", B"11011011", B"00101010",
3348
 B"00100011", B"11010010", B"11110001", B"00101010", B"11011010",
3349
 B"00100110", B"00001110", B"11101101", B"11100110", B"01000010",
3350
 B"00110000", B"00000011", B"11010000", B"11100111", B"11011110",
3351
 B"11001011", B"00011101", B"00000110", B"11111110", B"11101010",
3352
 B"00011011", B"00010100", B"11010101", B"11011111", B"11010001",
3353
 B"11010111", B"11001011", B"00010111", B"00011000", B"11101001",
3354
 B"00010100", B"11111010", B"00100011", B"10101110", B"00100101",
3355
 B"11011110", B"11010100", B"11011000", B"00000100", B"00011001",
3356
 B"00011011", B"11101011", B"11111111", B"11000100", B"11100011",
3357
 B"00001001", B"01001010", B"00000100", B"10110110", B"10101001",
3358
 B"00100010", B"00011011", B"00111101", B"00010111", B"11011000",
3359
 B"00010010", B"11011001", B"10111010", B"11011110", B"11100101",
3360
 B"00101111", B"00111010", B"00110100", B"01001100", B"00000010",
3361
 B"11011111", B"00011110", B"00011100", B"00011010", B"00100111",
3362
 B"10111010", B"11110100", B"11100000", B"11110011", B"00011100",
3363
 B"00011111", B"00010111", B"00100000", B"11101101", B"11000110",
3364
 B"00100011", B"00001101", B"00010011", B"11001000", B"00011011",
3365
 B"11011100", B"11101000", B"11001100", B"11110110", B"11101100",
3366
 B"11101111", B"00011101", B"00000101", B"11110010", B"01000000",
3367
 B"11110001", B"11101100", B"00101101", B"00000111", B"11111101",
3368
 B"11010101", B"00011011", B"11101010", B"00100011", B"00000011",
3369
 B"11001101", B"00000100", B"11111101", B"11100011", B"11101000",
3370
 B"00100111", B"00110000", B"11110011", B"11011100", B"11111001",
3371
 B"11000011", B"00100011", B"00001000", B"01000011", B"00010000",
3372
 B"00111010", B"11111110", B"00100001", B"11101001", B"11100001",
3373
 B"11011000", B"00010101", B"00010010", B"11010011", B"00100010",
3374
 B"11011001", B"11000101", B"11000010", B"00010001", B"00110111",
3375
 B"10111000", B"11100101", B"11001011", B"00011010", B"11011110",
3376
 B"00100111", B"11101011", B"10110110", B"00010100", B"11011111",
3377
 B"00100011", B"00000100", B"11100100", B"11001111", B"11011111",
3378
 B"11100101", B"11110001", B"11011001", B"00011011", B"11011110",
3379
 B"10100101", B"11011001", B"00100000", B"00100000", B"11101011",
3380
 B"11100111", B"11101010", B"11001111", B"11101110", B"00001010",
3381
 B"00110011", B"01010001", B"00011111", B"00010011", B"00100100",
3382
 B"00011001", B"11101000", B"00101111", B"11000110", B"00001111",
3383
 B"11111011", B"00001000", B"10101110", B"00110111", B"11010011",
3384
 B"11011101", B"11101111", B"00110111", B"00010011", B"00001111",
3385
 B"01000110", B"00010000", B"11001011", B"00100110", B"00001000",
3386
 B"00100111", B"10111000", B"11111101", B"11110111", B"00010010",
3387
 B"00100110", B"11001110", B"11111010", B"11101000", B"00010010",
3388
 B"11100001", B"00000100", B"00111100", B"00101010", B"00001101",
3389
 B"01000000", B"11011100", B"11110101", B"01000000", B"11000001",
3390
 B"11110001", B"01001001", B"11000111", B"11111011", B"11101101",
3391
 B"11001100", B"11011010", B"00101000", B"11011010", B"01001100",
3392
 B"11100110", B"11100110", B"01000001", B"00100111", B"11000110",
3393
 B"00100100", B"00001101", B"00011010", B"11000111", B"00100010",
3394
 B"00010110", B"00010010", B"11110101", B"00100100", B"11010110",
3395
 B"11111111", B"00010010", B"00111100", B"11010110", B"11100001",
3396
 B"11001010", B"11110111", B"11010100", B"11101000", B"00101000",
3397
 B"00000001", B"00101001", B"00110010", B"00110101", B"00011001",
3398
 B"11000001", B"11100100", B"00110010", B"00001101", B"11100110",
3399
 B"11100100", B"11111000", B"11010100", B"00010111", B"00110100",
3400
 B"00010000", B"00101101", B"11011001", B"10111101", B"11100000",
3401
 B"11011010", B"00010111", B"11010111", B"00001100", B"11010001",
3402
 B"11010110", B"00101100", B"11101100", B"11010011", B"00110011",
3403
 B"11101010", B"01000100", B"11101101", B"11010100", B"00010111",
3404
 B"10101111", B"00011010", B"10111100", B"11100000", B"11100011",
3405
 B"00100101", B"00111001", B"11110110", B"11100111", B"00100100",
3406
 B"00001010", B"00100000", B"00001111", B"11111000", B"00100100",
3407
 B"00101100", B"01000100", B"00110111", B"11110000", B"11101000",
3408
 B"00110011", B"00110100", B"00001000", B"11011100", B"11011010",
3409
 B"00011100", B"00100111", B"00011100", B"11011100", B"00011101",
3410
 B"11100101", B"01000100", B"00011101", B"00100001", B"00001001",
3411
 B"00000100", B"00100010", B"11100010", B"00001101", B"11110000",
3412
 B"11111010", B"00101011", B"11001110", B"11110011", B"00110101",
3413
 B"00110000", B"11001101", B"11110111", B"11101001", B"00100101",
3414
 B"11010010", B"00101010", B"11101110", B"11101001", B"00001111",
3415
 B"00100010", B"11001000", B"00111010", B"00111111", B"00111100",
3416
 B"11100010", B"01000010", B"11111011", B"11101101", B"01100100",
3417
 B"00101011", B"00011000", B"00010000", B"00001110", B"00100101",
3418
 B"00000110", B"00111100", B"00110110", B"00100111", B"11111100",
3419
 B"00111000", B"00101011", B"00010000", B"00101000", B"00011100",
3420
 B"00001100", B"11000011", B"11100011", B"11010100", B"11011001",
3421
 B"11111000", B"11101101", B"00010010", B"11100001", B"11101011",
3422
 B"11111011", B"11100001", B"00010011", B"11100000", B"11101000",
3423
 B"00111100", B"11000000", B"11010111", B"00101010", B"00101010",
3424
 B"01000011", B"01000010", B"11011000", B"10110100", B"10110001",
3425
 B"11010110", B"11100010", B"00010000", B"00101011", B"11100100",
3426
 B"00001110", B"11110101", B"11110010", B"11011000", B"11101100",
3427
 B"11101100", B"00011110", B"00101010", B"00011101", B"00111100",
3428
 B"00001001", B"00100010", B"11100001", B"00110011", B"00110000",
3429
 B"11010110", B"11101000", B"01000101", B"01000001", B"11000100",
3430
 B"11101010", B"00000000", B"11000000", B"00000110", B"00101011",
3431
 B"11110101", B"11001010", B"11101011", B"00101110", B"11001111",
3432
 B"00110100", B"11010111", B"11000001", B"00101001", B"11011110",
3433
 B"01000001", B"00001000", B"00011101", B"11100101", B"00001011",
3434
 B"11100100", B"00001110", B"11111110", B"00000001", B"11010011",
3435
 B"00010010", B"11101000", B"11011000", B"00001101", B"00100101",
3436
 B"11010101", B"00000111", B"11111101", B"11011111", B"11111001",
3437
 B"00111000", B"01000000", B"11011010", B"11110000", B"00110000",
3438
 B"00111100", B"11100011", B"11100100", B"11101001", B"11110110",
3439
 B"11001110", B"00110001", B"00100000", B"11101101", B"00010110",
3440
 B"01001101", B"11010011", B"11100001", B"00011010", B"11000110",
3441
 B"11011010", B"11011110", B"11011001", B"00101000", B"00011101",
3442
 B"00101010", B"00001111", B"11110100", B"00011000", B"11011000",
3443
 B"11110000", B"11101101", B"00110101", B"00101010", B"11111001",
3444
 B"00011100", B"11101001", B"11011001", B"11011111", B"11101011",
3445
 B"00101100", B"10110011", B"11111111", B"11111101", B"01000001",
3446
 B"11010010", B"00010100", B"11011101", B"11100111", B"00011000",
3447
 B"01001011", B"11100001", B"00011001", B"00100001", B"11101100",
3448
 B"11011111", B"10110101", B"11100000", B"11000001", B"11011001",
3449
 B"11110001", B"11101100", B"00101100", B"00011101", B"11010011",
3450
 B"00001011", B"11000111", B"11100110", B"11010010", B"11101011",
3451
 B"00101011", B"00111010", B"11010111", B"00011110", B"11110010",
3452
 B"00100100", B"11000101", B"11011101", B"11111111", B"11011000",
3453
 B"11000110", B"11001000", B"10101001", B"11111001", B"11010100",
3454
 B"00101011", B"11011010", B"00010111", B"11101110", B"11100110",
3455
 B"00010100", B"11110110", B"00010111", B"00001110", B"10111111",
3456
 B"11111010", B"11111100", B"11100100", B"11010100", B"00110000",
3457
 B"11010101", B"00100010", B"11100110", B"11000000", B"11011111",
3458
 B"01000000", B"00101100", B"00001001", B"11010011", B"00001110",
3459
 B"11010101", B"11001101", B"11111001", B"00101001", B"00110000",
3460
 B"11110010", B"11100011", B"00010011", B"11101111", B"00101001",
3461
 B"11011100", B"11001100", B"00101011", B"00010001", B"00001111",
3462
 B"00011001", B"11110101", B"11111111", B"11010001", B"11001111",
3463
 B"11100001", B"01000100", B"11101001", B"00011001", B"11101010",
3464
 B"11110101", B"11111001", B"00001101", B"00000110", B"00011100",
3465
 B"00000010", B"11111101", B"11001001", B"00101011", B"00110011",
3466
 B"11010100", B"11110101", B"11111101", B"00000110", B"00001010",
3467
 B"11010011", B"11100111", B"01000001", B"11101110", B"11101101",
3468
 B"00100101", B"11110011", B"00110111", B"11111010", B"11100000",
3469
 B"11101010", B"00101000", B"00001010", B"00001011", B"10110101",
3470
 B"11000001", B"00001011", B"11110010", B"11101011", B"11111010",
3471
 B"11101001", B"11110100", B"11010001", B"11001011", B"11110001",
3472
 B"00011011", B"00011110", B"00011101", B"00001010", B"11110001",
3473
 B"11011101", B"00100111", B"00000001", B"00100010", B"11101110",
3474
 B"11001001", B"00111100", B"00001011", B"00000100", B"00000111",
3475
 B"11111001", B"11100000", B"11111011", B"00011001", B"11010011",
3476
 B"00000000", B"11011101", B"11011000", B"10110001", B"11111001",
3477
 B"00111001", B"00110011", B"01011111", B"11110100", B"00100110",
3478
 B"11110001", B"11101001", B"11001100", B"00011110", B"00100010",
3479
 B"11110110", B"11011111", B"00110110", B"00100011", B"11010010",
3480
 B"11001100", B"11110011", B"11111110", B"11001010", B"11111110",
3481
 B"00110001", B"00101111", B"10011100", B"11101110", B"00001111",
3482
 B"11100100", B"11101111", B"00010011", B"11010001", B"00011000",
3483
 B"11111100", B"11000110", B"00110000", B"11100010", B"11110111",
3484
 B"11010111", B"00101101", B"00010001", B"00011111", B"11000110",
3485
 B"10101111", B"00100110", B"01000110", B"00011110", B"11110110",
3486
 B"00110011", B"11100001", B"11101001", B"11011111", B"00100111",
3487
 B"00101001", B"00011011", B"00110011", B"10110100", B"00000110",
3488
 B"00100111", B"11101101", B"11111010", B"00011000", B"11010000",
3489
 B"00000001", B"11111010", B"00100100", B"11110010", B"00011011",
3490
 B"11011111", B"11101110", B"11001110", B"00100100", B"11010000",
3491
 B"11001000", B"11100011", B"00101010", B"00100001", B"11110000",
3492
 B"00100111", B"11101000", B"00011100", B"00001011", B"11001110",
3493
 B"00110110", B"11111000", B"11100010", B"00011111", B"10110110",
3494
 B"11011111", B"11101010", B"11011001", B"01000000", B"11010011",
3495
 B"11111000", B"00010000", B"00101110", B"11111110", B"11101100",
3496
 B"11110011", B"00110001", B"11011001", B"11001010", B"11101011",
3497
 B"11011011", B"11111100", B"11000111", B"00110111", B"11010110",
3498
 B"11010001", B"11111000", B"11001011", B"00010001", B"11010000",
3499
 B"11110001", B"00101000", B"00010111", B"00110010", B"00000110",
3500
 B"00101001", B"00011100", B"00010001", B"11011100", B"11111101",
3501
 B"11010011", B"00101000", B"11101101", B"00001000", B"10110100",
3502
 B"00001001", B"11100011", B"11100111", B"10100101", B"00110100",
3503
 B"00100011", B"00001000", B"00011011", B"11010011", B"11001101",
3504
 B"01000101", B"00100100", B"10110011", B"11110010", B"11101000",
3505
 B"11100010", B"00101010", B"00011010", B"11010101", B"00001011",
3506
 B"11110100", B"00001101", B"11110101", B"11010100", B"00011000",
3507
 B"11110110", B"00010001", B"11110001", B"11001011", B"00011100",
3508
 B"00001000", B"00100011", B"00111011", B"11101001", B"00001100",
3509
 B"11100010", B"00110000", B"11010000", B"00100110", B"00101001",
3510
 B"00000000", B"00101100", B"00100000", B"00011101", B"00100001",
3511
 B"00110010", B"00111110", B"11001001", B"11100001", B"11001100",
3512
 B"11100100", B"00100110", B"11010111", B"00100111", B"11100100",
3513
 B"00000101", B"11110100", B"11111100", B"11100101", B"11100110",
3514
 B"01001101", B"11111010", B"11100010", B"00101100", B"00011101",
3515
 B"00010110", B"11001111", B"00101000", B"11100010", B"00001000",
3516
 B"00010011", B"00101010", B"11000101", B"00100100", B"01011111",
3517
 B"11101110", B"11100011", B"00011000", B"00011100", B"00101011",
3518
 B"00100111", B"00001110", B"00101101", B"01000011", B"00101101",
3519
 B"11110110", B"00110010", B"11111111", B"11001010", B"10111001",
3520
 B"00101000", B"00101110", B"11001100", B"11111111", B"00000001",
3521
 B"11100110", B"00010100", B"00010100", B"11101101", B"00110001",
3522
 B"00010011", B"11001000", B"00000010", B"11100110", B"00110111",
3523
 B"00011111", B"11010111", B"00101100", B"00100010", B"11100001",
3524
 B"01000101", B"00101010", B"00111110", B"00100100", B"11110000",
3525
 B"00110010", B"00010011", B"00011101", B"00111010", B"10111111",
3526
 B"11101101", B"11000111", B"11100000", B"00111001", B"11011111",
3527
 B"00100111", B"11110011", B"00010100", B"00000101", B"00101111",
3528
 B"11101101", B"00001000", B"11111001", B"00000110", B"00011010",
3529
 B"11011111", B"00011000", B"11100110", B"11001011", B"11011010",
3530
 B"00010011", B"00001110", B"11000110", B"00110111", B"00011100",
3531
 B"11011111", B"11100100", B"11101010", B"11010100", B"11101111",
3532
 B"11111001", B"11110000", B"11010011", B"11101100", B"00111110",
3533
 B"00001001", B"00000110", B"00011110", B"11001000", B"11100100",
3534
 B"11111110", B"00110001", B"00100010", B"11100110", B"00001011",
3535
 B"11001010", B"00001010", B"11111100", B"11100000", B"00111100",
3536
 B"00000000", B"11010011", B"11001110", B"00111110", B"00011111",
3537
 B"00001111", B"11011010", B"00011000", B"00011010", B"10110110",
3538
 B"11110011", B"01011010", B"00110110", B"00000010", B"00010101",
3539
 B"00010110", B"00011111", B"01001001", B"00001011", B"00011010",
3540
 B"10111000", B"11101011", B"00111010", B"00101101", B"00100001",
3541
 B"00101010", B"11001011", B"11100001", B"00100011", B"00110101",
3542
 B"11000101", B"00010010", B"00110000", B"11100101", B"10111111",
3543
 B"11110100", B"00101111", B"10111001", B"10111111", B"11001011",
3544
 B"11011110", B"00100111", B"11100011", B"00110001", B"01001100",
3545
 B"01001101", B"00100001", B"00101101", B"01010111", B"00001110",
3546
 B"11111001", B"00010001", B"11011101", B"11110011", B"11101111",
3547
 B"11101011", B"11101110", B"00001001", B"00011110", B"11001100",
3548
 B"11100110", B"11011000", B"11001011", B"00110100", B"00011011",
3549
 B"11110010", B"00011111", B"11101101", B"11010011", B"11001001",
3550
 B"00101000", B"11111100", B"00100111", B"00010111", B"11110011",
3551
 B"00111000", B"11101100", B"11011001", B"10111111", B"00000011",
3552
 B"11111010", B"11000000", B"00101001", B"11010011", B"00101010",
3553
 B"00010101", B"00110100", B"00011101", B"00110001", B"00011000",
3554
 B"00010010", B"00110011", B"00111101", B"00001001", B"11111111",
3555
 B"00110110", B"00100010", B"11110010", B"11001011", B"00011010",
3556
 B"10111011", B"00011000", B"00011110", B"11001100", B"00000101",
3557
 B"00010100", B"11000001", B"11011000", B"00101100", B"00011001",
3558
 B"11100111", B"11100010", B"11101111", B"00101010", B"11100000",
3559
 B"11100110", B"11111111", B"11110010", B"11010100", B"11011101",
3560
 B"00011010", B"01001010", B"00010101", B"00010011", B"11101111",
3561
 B"11110101", B"00000110", B"00010011", B"00100110", B"00100010",
3562
 B"11101100", B"11011100", B"00100110", B"01000011", B"00011111",
3563
 B"00110110", B"11110101", B"00100001", B"00011110", B"00110111",
3564
 B"00100110", B"11100101", B"11111001", B"11010101", B"10111101",
3565
 B"11100101", B"00110001", B"11101010", B"11101011", B"11011111",
3566
 B"01000100", B"11000111", B"11110111", B"11100110", B"10111011",
3567
 B"01010000", B"11101010", B"01000001", B"00011100", B"11010000",
3568
 B"00001101", B"10111101", B"00011001", B"11110100", B"11100100",
3569
 B"11000000", B"00000010", B"00011010", B"00101010", B"11011111",
3570
 B"00010111", B"11000110", B"00111110", B"00111011", B"00100000",
3571
 B"11110100", B"00100010", B"11011111", B"00100100", B"11010010",
3572
 B"11010000", B"11100001", B"00001101", B"11101101", B"00110001",
3573
 B"00000001", B"11101011", B"11111111", B"00000101", B"00011011",
3574
 B"00100110", B"11111001", B"00111001", B"11111101", B"00000001",
3575
 B"00011010", B"00011100", B"00101101", B"11011000", B"00011011",
3576
 B"00000100", B"11001100", B"11100000", B"11110100", B"00110000",
3577
 B"11001100", B"11010000", B"00011000", B"11010100", B"11100001",
3578
 B"11100111", B"11001011", B"11111001", B"00001101", B"11111000",
3579
 B"00000100", B"11111100", B"00100001", B"11110000", B"00011001",
3580
 B"00101011", B"00100100", B"11010000", B"00001101", B"11110011",
3581
 B"11101100", B"11010001", B"00110011", B"00011011", B"00001000",
3582
 B"11001011", B"11011001", B"00010110", B"11110000", B"11101100",
3583
 B"11110100", B"00010111", B"11111010", B"01000010", B"00011100",
3584
 B"11111111", B"00011100", B"00101001", B"11010011", B"00000011",
3585
 B"00011101", B"00110111", B"00010101", B"11110001", B"00110111",
3586
 B"11010111", B"00100110", B"11010000", B"00100111", B"11100000",
3587
 B"11100100", B"11101011", B"11101101", B"00011011", B"11000001",
3588
 B"00010110", B"00010110", B"00011001", B"11101011", B"00111001",
3589
 B"11101111", B"00110100", B"11010000", B"11101111", B"11000111",
3590
 B"00001101", B"11111011", B"00100110", B"11000000", B"11101010",
3591
 B"00100111", B"10101111", B"11000101", B"11001111", B"01000100",
3592
 B"00101000", B"11101011", B"00011000", B"11101011", B"11110010",
3593
 B"01010010", B"00101011", B"00110001", B"11010100", B"00011100",
3594
 B"10110100", B"00110101", B"00011011", B"00101101", B"00101100",
3595
 B"11001010", B"01000101", B"11010010", B"00000010", B"11000110",
3596
 B"00011110", B"00110110", B"11111111", B"11101100", B"00011100",
3597
 B"00000011", B"11110010", B"11110110", B"01010111", B"11110111",
3598
 B"00101000", B"00011001", B"11100011", B"00011101", B"00100001",
3599
 B"11100110", B"11001110", B"00010111", B"01001010", B"00000000",
3600
 B"11000011", B"11101110", B"11010111", B"11011001", B"00011100",
3601
 B"11101101", B"00010101", B"11100101", B"11011000", B"11010010",
3602
 B"00100110", B"00100000", B"11011111", B"00101110", B"00010101",
3603
 B"11100100", B"11100100", B"11011011", B"10111001", B"11101100",
3604
 B"00010110", B"00001011", B"11110111", B"00000001", B"00101100",
3605
 B"11111000", B"11010011", B"00010001", B"11111001", B"00011110",
3606
 B"11011000", B"00010101", B"11101111", B"11100011", B"00001001",
3607
 B"01000001", B"00101000", B"01001101", B"11111011", B"11010111",
3608
 B"00111000", B"11010110", B"00101101", B"11011011", B"00010000",
3609
 B"00100011", B"00110110", B"00100000", B"00101001", B"01000000",
3610
 B"00100111", B"00001000", B"11010011", B"00011101", B"11001101",
3611
 B"00011010", B"00001111", B"11111111", B"00101100", B"00100101",
3612
 B"11101010", B"11010110", B"00010010", B"00111101", B"01001101",
3613
 B"11101010", B"00111110", B"11100011", B"00111000", B"11010100",
3614
 B"11110101", B"00000000", B"11101100", B"00100000", B"00001011",
3615
 B"00011110", B"11111101", B"11011001", B"00001100", B"11100001",
3616
 B"00110111", B"11100010", B"11011011", B"00011001", B"11111101",
3617
 B"11111001", B"11100000", B"11011101", B"11111000", B"00011111",
3618
 B"00010110", B"00010101", B"11111001", B"11001101", B"11100001",
3619
 B"10111010", B"00001001", B"11101011", B"11111110", B"01011100",
3620
 B"00110111", B"11011111", B"00100001", B"00110000", B"11111101",
3621
 B"00101100", B"00100110", B"00000010", B"00011001", B"11111110",
3622
 B"00000100", B"11000101", B"00111001", B"00100111", B"00010001",
3623
 B"00101111", B"11010011", B"11011111", B"01000001", B"11001110",
3624
 B"00001110", B"11100011", B"11101101", B"00100010", B"11101001",
3625
 B"00001011", B"11111100", B"00011101", B"00110000", B"11010010",
3626
 B"00101110", B"11100010", B"00000111", B"11001100", B"00000011",
3627
 B"11010000", B"11011010", B"11011100", B"00001101", B"00100101",
3628
 B"00100110", B"11101010", B"10111111", B"11011000", B"11101000",
3629
 B"00011100", B"11101000", B"00010100", B"00100100", B"00011101",
3630
 B"11010001", B"11100001", B"00001111", B"00010000", B"00001010",
3631
 B"11110111", B"11011001", B"11010001", B"00101010", B"10110101",
3632
 B"00010100", B"11100110", B"11011110", B"00101110", B"11011010",
3633
 B"00000010", B"00101000", B"00110000", B"00100010", B"01001000",
3634
 B"11010001", B"00010100", B"11000011", B"00010111", B"11101001",
3635
 B"11011011", B"11110010", B"00000101", B"00010010", B"11110100",
3636
 B"11011101", B"11010000", B"10101101", B"00101001", B"00111100",
3637
 B"10110000", B"00101100", B"00000011", B"11100011", B"00000100",
3638
 B"00000100", B"00000100", B"00111000", B"11100000", B"00010101",
3639
 B"11101010", B"00110001", B"00011011", B"00011111", B"11011100",
3640
 B"00011101", B"10110011", B"00110010", B"11000000", B"00011111",
3641
 B"11010001", B"01001001", B"11011000", B"11011000", B"11011011",
3642
 B"00100011", B"11100110", B"11011010", B"11001010", B"11000001",
3643
 B"00010111", B"01001011", B"11001001", B"00101101", B"11101000",
3644
 B"11001011", B"00011001", B"00110101", B"00110101", B"11110010",
3645
 B"00010101", B"11011111", B"00001111", B"00010100", B"11011010",
3646
 B"11001000", B"11011101", B"11001110", B"00010011", B"11100000",
3647
 B"00111001", B"00011010", B"00001110", B"11001011", B"00011110",
3648
 B"00011001", B"11110110", B"10111010", B"00101100", B"01000010",
3649
 B"11110011", B"11010000", B"00110010", B"11011010", B"10111111",
3650
 B"11101111", B"11011011", B"00000101", B"11110101", B"10100111",
3651
 B"00010100", B"11110110", B"00110000", B"11000110", B"00010001",
3652
 B"11110101", B"00011111", B"11100011", B"11011010", B"11101001",
3653
 B"00001101", B"00110011", B"11011110", B"00001101", B"11001100",
3654
 B"00000011", B"00101100", B"11011100", B"00101100", B"00011101",
3655
 B"11111101", B"11011001", B"11101000", B"00001100", B"00011010",
3656
 B"00101100", B"11000111", B"00101111", B"11111111", B"00001100",
3657
 B"00010011", B"11011110", B"00000011", B"00010111", B"11100010",
3658
 B"11010100", B"11111101", B"00011110", B"00110001", B"00001000",
3659
 B"11000001", B"00011010", B"11100010", B"00101100", B"11011010",
3660
 B"11010010", B"11100110", B"11110100", B"00100000", B"11111010",
3661
 B"11000101", B"00110011", B"11011110", B"00011010", B"11100001",
3662
 B"11000010", B"00011110", B"11010111", B"11010000", B"00001100",
3663
 B"00001000", B"11101010", B"00110001", B"11000111", B"00001111",
3664
 B"11001111", B"11001001", B"00101111", B"00100101", B"00101100",
3665
 B"11101011", B"01000101", B"00010000", B"11011011", B"00000001",
3666
 B"00001010", B"00101101", B"11101111", B"00000010", B"00000100",
3667
 B"00110100", B"11101010", B"00010001", B"11101011", B"00001001",
3668
 B"00101001", B"11101010", B"11100011", B"00101111", B"00010001",
3669
 B"11011000", B"11101110", B"00000100", B"11110010", B"00001100",
3670
 B"11010110", B"00101111", B"11001100", B"11111011", B"00000010",
3671
 B"00110100", B"00110000", B"11101001", B"00100010", B"11111011",
3672
 B"11111010", B"11110111", B"00010111", B"00101111", B"11001001",
3673
 B"11100101", B"00100110", B"00010011", B"00010110", B"00111101",
3674
 B"11100001", B"11010011", B"00000101", B"01010110", B"00010101",
3675
 B"11101111", B"00010101", B"11010011", B"00001111", B"11111100",
3676
 B"11010001", B"00000010", B"00111010", B"11011011", B"11010000",
3677
 B"11010101", B"11000001", B"11101100", B"11100101", B"11000011",
3678
 B"00001111", B"00000100", B"00001100", B"11110001", B"11110010",
3679
 B"11111001", B"11001110", B"00111101", B"00011011", B"00000001",
3680
 B"11000101", B"00010010", B"11110101", B"01000100", B"11111110",
3681
 B"00100111", B"00000011", B"00111000", B"11110111", B"01000101",
3682
 B"11110110", B"00101110", B"11001001", B"11101100", B"11001000",
3683
 B"00111110", B"00011000", B"11111110", B"00110110", B"10111101",
3684
 B"00111001", B"10110110", B"01001110", B"11011011", B"11100110",
3685
 B"11111101", B"00000000", B"00101001", B"00110101", B"11100101",
3686
 B"00101110", B"01011101", B"00111100", B"00000101", B"00000101",
3687
 B"00011011", B"11100011", B"00110011", B"00110010", B"00011000",
3688
 B"11101110", B"00011101", B"11011110", B"00100111", B"11110100",
3689
 B"11100011", B"00011010", B"11100010", B"00001100", B"11111100",
3690
 B"00011011", B"11110100", B"11111111", B"11000011", B"00000100",
3691
 B"11011011", B"00011000", B"11011000", B"00000100", B"00110010",
3692
 B"00010100", B"11101011", B"00010011", B"11000000", B"11100000",
3693
 B"11011011", B"00000001", B"00101001", B"00001011", B"00010011",
3694
 B"00101010", B"10110100", B"00010100", B"11000010", B"11110111",
3695
 B"11101000", B"11000000", B"11101100", B"01000100", B"00000001",
3696
 B"00110001", B"00110110", B"11000001", B"11010110", B"11011000",
3697
 B"10111101", B"11111011", B"11011001", B"00110010", B"00000011",
3698
 B"11101110", B"11111011", B"11101110", B"11110000", B"11100110",
3699
 B"00000011", B"01001011", B"11001111", B"01011011", B"11001011",
3700
 B"00011100", B"11101111", B"01001001", B"00011101", B"11111010",
3701
 B"00100010", B"00111110", B"11110110", B"11110101", B"11001101",
3702
 B"00110010", B"11011011", B"00101001", B"11001100", B"10111000",
3703
 B"00101001", B"00001011", B"10110101", B"00011100", B"00100000",
3704
 B"11100100", B"00101011", B"00001101", B"11001100", B"11011011",
3705
 B"00010111", B"11010101", B"11001001", B"11000001", B"00011101",
3706
 B"00101101", B"11000111", B"00101010", B"00011101", B"11111001",
3707
 B"00110110", B"11111101", B"11010101", B"11100011", B"00100010",
3708
 B"11100000", B"11000001", B"11001001", B"00111011", B"00101000",
3709
 B"11010111", B"00000011", B"11010000", B"11100010", B"00000000",
3710
 B"00011001", B"00011110", B"00000000", B"00100010", B"11110101",
3711
 B"11111101", B"01000000", B"00001001", B"11110001", B"00001110",
3712
 B"00011011", B"11100010", B"11010010", B"00000001", B"11010100",
3713
 B"00001011", B"00101011", B"11011110", B"00100100", B"11100001",
3714
 B"11001100", B"00010010", B"00001101", B"00110011", B"00101101",
3715
 B"00000000", B"00010010", B"11010111", B"00011001", B"11110100",
3716
 B"00011101", B"11111110", B"11100101", B"11000110", B"11010101",
3717
 B"00110101", B"11100000", B"00111100", B"00000011", B"00011000",
3718
 B"00010010", B"11111001", B"01001001", B"11100111", B"00101101",
3719
 B"11011111", B"00110110", B"11100111", B"00111010", B"00110001",
3720
 B"11001001", B"00010011", B"00100111", B"11001001", B"00101001",
3721
 B"11101001", B"11101110", B"00100100", B"11110011", B"11010011",
3722
 B"11111110", B"11110011", B"00011011", B"11010101", B"00111001",
3723
 B"00011010", B"11011000", B"00100101", B"00010010", B"11110100",
3724
 B"00100011", B"11000010", B"11111001", B"00101101", B"11010111",
3725
 B"11010010", B"11001111", B"11011010", B"11010110", B"11110100",
3726
 B"11101001", B"00100101", B"00110101", B"00000101", B"00010111",
3727
 B"11101101", B"11001010", B"00111110", B"11001111", B"10111100",
3728
 B"11100110", B"00001101", B"11111111", B"11110011", B"11010100",
3729
 B"00100101", B"11001011", B"00001010", B"11001100", B"11011010",
3730
 B"00010011", B"00001010", B"00101001", B"11001111", B"00000111",
3731
 B"00000000", B"10111100", B"00010111", B"00001111", B"11011011",
3732
 B"11011000", B"00101111", B"11110100", B"11001110", B"11110100",
3733
 B"00110111", B"01000011", B"11011101", B"00011011", B"11010101",
3734
 B"00010111", B"00011011", B"11010101", B"10101010", B"11011000",
3735
 B"11110010", B"11111011", B"00010100", B"10110111", B"00011101",
3736
 B"11001110", B"00010101", B"00011000", B"00110011", B"01001100",
3737
 B"00010101", B"11010011", B"00001110", B"00010111", B"11011101",
3738
 B"11111010", B"00001100", B"11011001", B"11110101", B"00010010",
3739
 B"01000010", B"00010011", B"00010111", B"11101001", B"11101101",
3740
 B"00000001", B"11100001", B"11011011", B"00100011", B"00100100",
3741
 B"11110101", B"00110010", B"11000001", B"11110010", B"00100010",
3742
 B"11100110", B"11110011", B"11101010", B"00010111", B"11101110",
3743
 B"11000011", B"00100001", B"00010111", B"11110010", B"00011011",
3744
 B"00010001", B"11010001", B"11000111", B"00101100", B"00001101",
3745
 B"10111100", B"00111101", B"00011110", B"00010100", B"00100001",
3746
 B"11111000", B"11011001", B"00101011", B"11100110", B"00010111",
3747
 B"00100010", B"11110101", B"11011000", B"11000000", B"11100010",
3748
 B"00110010", B"00100001", B"11011001", B"11100010", B"00100000",
3749
 B"11111110", B"11001101", B"11001111", B"11110001", B"11110001",
3750
 B"11101110", B"00111001", B"00110001", B"11101000", B"00011110",
3751
 B"11101010", B"11100011", B"00111001", B"11100010", B"00011000",
3752
 B"11011100", B"11010011", B"00011001", B"00100100", B"11111000",
3753
 B"11100101", B"00010101", B"11100001", B"11000101", B"01000000",
3754
 B"11000110", B"00100100", B"00110111", B"11010101", B"11110110",
3755
 B"11110101", B"11011101", B"00000001", B"00000001", B"00101000",
3756
 B"00010011", B"00010101", B"11010000", B"00111101", B"00101011",
3757
 B"11110010", B"11100010", B"11110010", B"11000101", B"11100110",
3758
 B"00100100", B"11011010", B"00000111", B"11011110", B"11011001",
3759
 B"00000111", B"11011100", B"01001101", B"00001111", B"00000000",
3760
 B"11111000", B"00001000", B"11111011", B"00110110", B"11010111",
3761
 B"00000011", B"11000001", B"11011101", B"01001100", B"11110011",
3762
 B"01000100", B"00100111", B"11001000", B"00010100", B"11101011",
3763
 B"00011000", B"11000000", B"00000000", B"00011010", B"00010101",
3764
 B"11010000", B"11111101", B"00011110", B"10111100", B"00101000",
3765
 B"11001010", B"11011100", B"00011100", B"11010011", B"00111100",
3766
 B"01001110", B"11111100", B"11100001", B"11011110", B"11101001",
3767
 B"11101000", B"11101010", B"00110101", B"00110111", B"11100011",
3768
 B"00100101", B"11100011", B"11100000", B"11110010", B"00101010",
3769
 B"11100000", B"11100110", B"00001100", B"11000000", B"10110101",
3770
 B"11101001", B"11101000", B"11101101", B"00010101", B"11011110",
3771
 B"00110100", B"11000100", B"11101111", B"00001011", B"11101010",
3772
 B"00110101", B"00011000", B"11011010", B"11001101", B"11111111",
3773
 B"00100101", B"11100000", B"11110110", B"00011111", B"00010111",
3774
 B"11100001", B"11111000", B"00101010", B"11110011", B"11100110",
3775
 B"00011100", B"11001100", B"00100001", B"00010100", B"11001111",
3776
 B"11010110", B"11011010", B"11100011", B"11100100", B"00011110",
3777
 B"00001101", B"00000110", B"00011101", B"00100101", B"00011001",
3778
 B"00001111", B"00110111", B"00101011", B"11000110", B"00100001",
3779
 B"00000100", B"11101010", B"11101000", B"00011100", B"00111101",
3780
 B"11110000", B"11010001", B"00011100", B"00011000", B"11101111",
3781
 B"00101000", B"00001011", B"11110010", B"10110000", B"00010010",
3782
 B"11010001", B"00110000", B"11100110", B"11001100", B"11111100",
3783
 B"00000100", B"11110001", B"11011101", B"11111001", B"00101100",
3784
 B"00111100", B"11000011", B"00100011", B"11101011", B"11011011",
3785
 B"00110010", B"11111111", B"00010100", B"11111001", B"11100001",
3786
 B"11100100", B"11010111", B"00001010", B"11100100", B"11000001",
3787
 B"00001101", B"11010101", B"11110000", B"11011110", B"11110011",
3788
 B"11001000", B"00010111", B"11000100", B"00000000", B"11100011",
3789
 B"00111001", B"00000011", B"11011111", B"11100100", B"01000111",
3790
 B"00100010", B"00010110", B"11101100", B"00101001", B"11101000",
3791
 B"00111010", B"11011011", B"10110101", B"01000100", B"11100000",
3792
 B"00101000", B"00000110", B"11101001", B"00010000", B"11001111",
3793
 B"00011011", B"11010111", B"00110101", B"11011100", B"11010110",
3794
 B"00111101", B"11110000", B"00011111", B"00100000", B"11101111",
3795
 B"00110101", B"00010101", B"11000111", B"11010100", B"00110000",
3796
 B"11001100", B"11110101", B"11010110", B"11010001", B"00010110",
3797
 B"00011101", B"00010001", B"00111111", B"11010010", B"00101100",
3798
 B"00111001", B"00011010", B"11100010", B"00101010", B"11001111",
3799
 B"00101010", B"11111001", B"00101001", B"11001110", B"11000011",
3800
 B"11100111", B"00111100", B"00100101", B"11100101", B"00001000",
3801
 B"10111010", B"10111110", B"00101101", B"11100011", B"11010110",
3802
 B"11010001", B"11010100", B"00001010", B"01000010", B"00100100",
3803
 B"00100111", B"00000001", B"00100111", B"11011100", B"00110111",
3804
 B"11011110", B"00000010", B"11011010", B"00000111", B"11011010",
3805
 B"11011100", B"00110111", B"00101011", B"01000000", B"11111110",
3806
 B"11010100", B"00011011", B"00011001", B"11100010", B"11010101",
3807
 B"00111100", B"11011000", B"11010110", B"00100001", B"00010011",
3808
 B"01000100", B"00110110", B"11000111", B"10111001", B"00010001",
3809
 B"00101110", B"00010100", B"00001000", B"00011000", B"00010010",
3810
 B"00101000", B"01001101", B"11100111", B"00011011", B"00100111",
3811
 B"11011110", B"11010101", B"11110101", B"11101110", B"11100010",
3812
 B"00101101", B"11011011", B"11011100", B"00100111", B"11001111",
3813
 B"11001110", B"11011110", B"11011110", B"11101111", B"11100001",
3814
 B"11010110", B"00000001", B"00010011", B"00100101", B"00111010",
3815
 B"00011010", B"11000111", B"00001000", B"00110110", B"00101101",
3816
 B"11010100", B"00110001", B"11011010", B"00001101", B"00111111",
3817
 B"11010011", B"00110010", B"00011101", B"11001100", B"00000101",
3818
 B"00010000", B"10111000", B"11100110", B"11100000", B"11000010",
3819
 B"00000111", B"00111100", B"00101100", B"00011111", B"11111111",
3820
 B"11111111", B"11111110", B"11011110", B"11011001", B"00110011",
3821
 B"11011010", B"10101100", B"00000000", B"11110001", B"00110011",
3822
 B"11000000", B"11011001", B"10110000", B"00011011", B"00111001",
3823
 B"11110101", B"00000101", B"11100101", B"00000010", B"00010111",
3824
 B"00101011", B"00010000", B"00001011", B"00000100", B"11010101",
3825
 B"00010101", B"10110010", B"00000101", B"00001011", B"11011111",
3826
 B"00111010", B"01000010", B"00100001", B"00111001", B"00111011",
3827
 B"11101100", B"00100000", B"11011001", B"00100110", B"11101010",
3828
 B"00101101", B"00010010", B"11000001", B"11010001", B"11110111",
3829
 B"00010010", B"11011100", B"11011011", B"00010001", B"00100011",
3830
 B"11100110", B"11100010", B"11101011", B"11011010", B"01010100",
3831
 B"11101001", B"11110100", B"00010100", B"11100100", B"00001110",
3832
 B"11001110", B"00001001", B"00011101", B"11001100", B"01000111",
3833
 B"00011010", B"11011001", B"00011010", B"00011100", B"11011100",
3834
 B"11101101", B"00100010", B"00101011", B"11101001", B"10111111",
3835
 B"11011100", B"11010001", B"11111010", B"11111000", B"11101010",
3836
 B"11010111", B"00011111", B"11010100", B"00011010", B"11010111",
3837
 B"11111111", B"00000100", B"00011110", B"11011110", B"11101100",
3838
 B"00001011", B"00100001", B"11101111", B"00011110", B"11010000",
3839
 B"00011101", B"11101001", B"11011011", B"11001110", B"00101100",
3840
 B"00101010", B"11011101", B"00111001", B"11101000", B"00101001",
3841
 B"11110111", B"00110010", B"11111011", B"11100000", B"11110000",
3842
 B"00111100", B"00011101", B"11110001", B"00101011", B"11101111",
3843
 B"11110000", B"11001000", B"11100100", B"00110010", B"00100001",
3844
 B"11101000", B"11010001", B"00100100", B"00101001", B"01001000",
3845
 B"00101011", B"11101010", B"11010110", B"11010100", B"00011001",
3846
 B"10101110", B"01000110", B"11100100", B"11000000", B"01010100",
3847
 B"11111001", B"11011011", B"11101110", B"10011110", B"00000000",
3848
 B"00011011", B"11011001", B"00111101", B"11110100", B"11001101",
3849
 B"11001011", B"00011000", B"11010100", B"00100001", B"11100010",
3850
 B"11100110", B"00011110", B"00101000", B"00110001", B"00001000",
3851
 B"10111001", B"11011110", B"11100000", B"00110111", B"11011101",
3852
 B"11111000", B"11101001", B"00011111", B"11000001", B"11001110",
3853
 B"11011100", B"00100111", B"00001101", B"00011100", B"00101001",
3854
 B"11111111", B"11010001", B"00101011", B"11011001", B"00000100",
3855
 B"11111001", B"00110101", B"11111001", B"11100100", B"00010101",
3856
 B"00000011", B"10110110", B"11101001", B"11111110", B"11100111",
3857
 B"11010111", B"00000111", B"11010011", B"01000011", B"11100111",
3858
 B"10101011", B"00110011", B"11110010", B"11100000", B"11110011",
3859
 B"11100010", B"11111000", B"00000100", B"11110011", B"00110100",
3860
 B"11011101", B"11010011", B"00010110", B"00001110", B"00100001",
3861
 B"00101010", B"11101001", B"11100110", B"11110000", B"11101000",
3862
 B"11111000", B"11100010", B"00000110", B"00101110", B"01001101",
3863
 B"00110111", B"11010111", B"11010101", B"11110000", B"10110111",
3864
 B"11110001", B"01000010", B"00100000", B"00010110", B"00100010",
3865
 B"00101110", B"11101001", B"11011110", B"11110000", B"00100111",
3866
 B"11000001", B"10110111", B"11110101", B"11001000", B"00000111",
3867
 B"11001100", B"11100110", B"00110010", B"10110110", B"00100010",
3868
 B"00100011", B"00000001", B"00110100", B"00111110", B"11111000",
3869
 B"11111010", B"00011100", B"00100100", B"00110111", B"11010100",
3870
 B"11010101", B"00110101", B"11010110", B"00001011", B"11101110",
3871
 B"11100110", B"00100100", B"11011101", B"00110011", B"00010001",
3872
 B"10111000", B"00000111", B"00101010", B"11111110", B"11000001",
3873
 B"00010000", B"11111100", B"11000100", B"11011000", B"11110101",
3874
 B"10110110", B"11110100", B"11101011", B"00000110", B"00000101",
3875
 B"11100000", B"11011001", B"11000110", B"11101011", B"11001110",
3876
 B"01001011", B"11000111", B"00011100", B"00011000", B"11011111",
3877
 B"00011110", B"00101001", B"11011110", B"00011111", B"00000011",
3878
 B"11111010", B"01011010", B"00101101", B"10111100", B"11111101",
3879
 B"00100100", B"10110010", B"00110001", B"11000101", B"11101000",
3880
 B"11000111", B"00000101", B"11110100", B"00011001", B"00101110",
3881
 B"01010100", B"10110101", B"00101111", B"00100000", B"11101010",
3882
 B"11101010", B"00000010", B"11101011", B"11110010", B"00010100",
3883
 B"11111010", B"00001101", B"11000000", B"11101101", B"00010010",
3884
 B"01010011", B"00010010", B"00100101", B"01010010", B"00001101",
3885
 B"00010000", B"00011100", B"00101100", B"00001010", B"00001011",
3886
 B"11110011", B"00000001", B"00000110", B"11100011", B"00110101",
3887
 B"11110101", B"00001011", B"11100010", B"00000101", B"00101101",
3888
 B"11101100", B"00011111", B"11000101", B"00000010", B"11101111",
3889
 B"11001101", B"11011001", B"11001010", B"00111111", B"01001101",
3890
 B"00000110", B"00100101", B"11101011", B"10111100", B"00011010",
3891
 B"11011110", B"00101100", B"11001100", B"11001000", B"00110101",
3892
 B"00100000", B"00000111", B"11001100", B"11101111", B"00001001",
3893
 B"00000101", B"11001000", B"11001100", B"00010010", B"11011110",
3894
 B"11100110", B"11011000", B"10111000", B"00110100", B"10101110",
3895
 B"11110101", B"11000010", B"11111001", B"00100011", B"00011100",
3896
 B"00110011", B"01000111", B"11101000", B"11110011", B"11100100",
3897
 B"11100010", B"11110110", B"00000100", B"01000010", B"11011111",
3898
 B"00101010", B"00000011", B"11011110", B"00011001", B"11110000",
3899
 B"11100110", B"10111010", B"00000001", B"00011100", B"11110111",
3900
 B"11101011", B"11011000", B"00001111", B"11100100", B"00101001",
3901
 B"10111111", B"11101101", B"00001101", B"00101000", B"00101100",
3902
 B"00011001", B"11010011", B"00101111", B"11011110", B"00011001",
3903
 B"10111111", B"10100111", B"11010110", B"00010000", B"11111111",
3904
 B"00110001", B"11010101", B"11100010", B"00001000", B"00110111",
3905
 B"00110101", B"11011101", B"11001110", B"00100000", B"00101011",
3906
 B"11010000", B"11100010", B"00100011", B"00011101", B"10110111",
3907
 B"00110111", B"11101011", B"11110000", B"11110011", B"00100111",
3908
 B"11011101", B"00000011", B"11110010", B"11011101", B"00000011",
3909
 B"00010011", B"00110111", B"11001000", B"00100000", B"00011011",
3910
 B"00001001", B"11011100", B"00000011", B"00100100", B"11001111",
3911
 B"11001100", B"00110000", B"00110100", B"01000110", B"10111001",
3912
 B"00111100", B"11101101", B"00100100", B"11110100", B"11110000",
3913
 B"11000100", B"00100100", B"11110101", B"00010110", B"00001101",
3914
 B"11101101", B"11000001", B"00100101", B"00000111", B"00100000",
3915
 B"11010100", B"00011100", B"11011011", B"00101010", B"11011110",
3916
 B"11101001", B"00100011", B"11001110", B"11100011", B"11000111",
3917
 B"00011000", B"00101001", B"10111111", B"00100100", B"00001010",
3918
 B"11011111", B"11001110", B"11100101", B"00100001", B"11100111",
3919
 B"00100001", B"00010110", B"11011110", B"00110110", B"11110111",
3920
 B"11100011", B"11011110", B"00101101", B"00100011", B"11000001",
3921
 B"00011101", B"11011111", B"00010011", B"11000011", B"10111111",
3922
 B"00011100", B"00001011", B"00001101", B"00111010", B"11010010",
3923
 B"11111111", B"10110001", B"11111111", B"00110011", B"00010010",
3924
 B"00011001", B"00101100", B"00100101", B"11011111", B"00111100",
3925
 B"11110101", B"00101101", B"11110110", B"00110100", B"00011100",
3926
 B"11001111", B"10100011", B"00001011", B"00100001", B"11011101",
3927
 B"10111110", B"11011010", B"11100111", B"11100100", B"00100100",
3928
 B"00101101", B"11101111", B"00100111", B"10111100", B"00111111",
3929
 B"00111111", B"00011100", B"11110010", B"01000110", B"00100101",
3930
 B"00111110", B"00001001", B"11001001", B"11011101", B"00111010",
3931
 B"00101011", B"00100100", B"00100000", B"11110000", B"11111011",
3932
 B"00110001", B"11010111", B"00011001", B"10111110", B"11011111",
3933
 B"00100100", B"11111010", B"00100101", B"00010010", B"00010010",
3934
 B"01000111", B"11011110", B"00110011", B"11110000", B"00010011",
3935
 B"11011110", B"11111100", B"00111010", B"00011011", B"00101101",
3936
 B"11110011", B"00011010", B"11100001", B"00101110", B"00010111",
3937
 B"00011011", B"11101110", B"01000000", B"00010100", B"00010010",
3938
 B"11011110", B"00100001", B"01101001", B"00010100", B"00101011",
3939
 B"00101010", B"00100111", B"00100011", B"00011011", B"00101101",
3940
 B"11101101", B"11010010", B"11100110", B"10110000", B"00111010",
3941
 B"00010100", B"00101101", B"00011100", B"00111100", B"00101111",
3942
 B"11101110", B"00101101", B"01000001", B"11100001", B"11011110",
3943
 B"00101001", B"11111100", B"11101011", B"11011011", B"00101001",
3944
 B"00101110", B"10111111", B"00000010", B"11011100", B"00100011",
3945
 B"11010101", B"11100011", B"11011110", B"11110001", B"00010100",
3946
 B"11100010", B"00001010", B"00001001", B"11001010", B"00111110",
3947
 B"11111001", B"00111000", B"11111011", B"11100001", B"00110000",
3948
 B"00101110", B"00111111", B"11011000", B"11111010", B"00100011",
3949
 B"00111010", B"10101101", B"11110000", B"00000100", B"11101110",
3950
 B"00011101", B"11111000", B"00001000", B"11100110", B"11011111",
3951
 B"00000111", B"10110100", B"00100000", B"00010001", B"11111100",
3952
 B"11111011", B"11001110", B"11110010", B"11000101", B"00111001",
3953
 B"00011101", B"00100001", B"10101111", B"00101000", B"11011111",
3954
 B"00111100", B"11111110", B"01000110", B"00000101", B"11101011",
3955
 B"11000011", B"11101000", B"00001000", B"11101100", B"00001100",
3956
 B"11001111", B"00101101", B"11011010", B"10111010", B"11011011",
3957
 B"00100001", B"00011110", B"11011111", B"00110100", B"00000001",
3958
 B"00011010", B"11111100", B"11101100", B"11111001", B"00000001",
3959
 B"01000010", B"00101101", B"11110000", B"11100010", B"11001000",
3960
 B"00011000", B"00010101", B"11101101", B"00001001", B"00100011",
3961
 B"00010011", B"11011111", B"00010000", B"00010010", B"10101010",
3962
 B"11110011", B"11111101", B"00100111", B"11100011", B"00010001",
3963
 B"00010101", B"11101100", B"00001111", B"00001100", B"11011010",
3964
 B"00110100", B"01000010", B"11100001", B"11111101", B"00100000",
3965
 B"11111010", B"11001100", B"11011101", B"11100000", B"00010111",
3966
 B"10111110", B"11011001", B"11110000", B"00101000", B"00011010",
3967
 B"11100000", B"11001001", B"11010110", B"00001101", B"00100000",
3968
 B"00101110", B"11101011", B"00000100", B"11111010", B"10111111",
3969
 B"10111010", B"11011101", B"00110011", B"00001001", B"11101110",
3970
 B"00110010", B"11101000", B"11100001", B"11001011", B"11111011",
3971
 B"00100000", B"00010101", B"00001111", B"00001010", B"00000011",
3972
 B"11110101", B"11110111", B"00111011", B"11110011", B"00110110",
3973
 B"11100101", B"11001001", B"00100110", B"11010011", B"11000100",
3974
 B"11100111", B"00101011", B"11111101", B"11010010", B"00101110",
3975
 B"11100110", B"11110000", B"00001010", B"00101111", B"11001100",
3976
 B"11000011", B"11101001", B"11000100", B"11010100", B"11100011",
3977
 B"00011100", B"11101001", B"00000011", B"10111010", B"00100111",
3978
 B"00101001", B"11010111", B"00010100", B"10111001", B"11101001",
3979
 B"00001110", B"11110100", B"00111100", B"00101001", B"11111000",
3980
 B"01000101", B"00010100", B"11011111", B"11010101", B"00000101",
3981
 B"11111000", B"11101001", B"00001000", B"11110111", B"00010001",
3982
 B"00000101", B"11010000", B"11100011", B"10101100", B"11011110",
3983
 B"11100110", B"00110011", B"00101100", B"11110010", B"11011101",
3984
 B"00100111", B"00001000", B"00000101", B"11011101", B"11111011",
3985
 B"11111011", B"11001100", B"11111000", B"00111100", B"00010110",
3986
 B"00011110", B"00011011", B"00000100", B"11001001", B"00010001",
3987
 B"00010001", B"11011101", B"00101010", B"00100111", B"11100110",
3988
 B"11110000", B"00011100", B"00010111", B"00101011", B"11100000",
3989
 B"00100000", B"11101111", B"00000110", B"11010000", B"11110010",
3990
 B"11011010", B"00110111", B"11101110", B"11100111", B"00011101",
3991
 B"11010100", B"11011010", B"01010101", B"00101000", B"11001101",
3992
 B"11101001", B"11100101", B"11111111", B"11111001", B"11110110",
3993
 B"10110011", B"11011100", B"11011100", B"00111111", B"00111010",
3994
 B"00101111", B"00100110", B"10111111", B"11111111", B"11011111",
3995
 B"00100011", B"00100111", B"11010111", B"00111110", B"11100110",
3996
 B"11001110", B"11001100", B"00110110", B"00100001", B"00011100",
3997
 B"00000110", B"11100000", B"11011100", B"11100100", B"00011100",
3998
 B"11001011", B"11000010", B"11101000", B"00100101", B"00011000",
3999
 B"00000010", B"00010001", B"11010000", B"11010111", B"11011100",
4000
 B"11110001", B"00101000", B"11011011", B"00111110", B"11001011",
4001
 B"00100110", B"00001100", B"11011000", B"11110110", B"11010000",
4002
 B"00000011", B"11110101", B"00010101", B"00111101", B"00110010",
4003
 B"00111111", B"00010001", B"00011101", B"00000111", B"00101110",
4004
 B"00001111", B"11010001", B"00011110", B"00101101", B"11111000",
4005
 B"00001000", B"00001001", B"11010110", B"11110000", B"00001000",
4006
 B"11010101", B"00100000", B"11111001", B"11100111", B"00101010",
4007
 B"00100011", B"00100110", B"11100011", B"00000000", B"11010101",
4008
 B"11011111", B"00001001", B"00110101", B"00101001", B"01000111",
4009
 B"00010010", B"11100110", B"00110011", B"11110101", B"11100111",
4010
 B"11010101", B"11011001", B"11100000", B"11111010", B"00100001",
4011
 B"11001011", B"11101010", B"11001011", B"11110111", B"11011001",
4012
 B"00011111", B"11011111", B"11011000", B"00100010", B"11111100",
4013
 B"00001011", B"11000101", B"11100101", B"00010010", B"11010100",
4014
 B"10111110", B"11100010", B"11000000", B"00010111", B"11101111",
4015
 B"00101000", B"11110001", B"11101100", B"11111000", B"11001110",
4016
 B"00010011", B"00111101", B"11111011", B"00111001", B"00001000",
4017
 B"10101011", B"11010110", B"11110101", B"11111000", B"00110001",
4018
 B"11101010", B"00010101", B"00110100", B"11011101", B"11010111",
4019
 B"00011100", B"11000111", B"11010101", B"11000101", B"00011110",
4020
 B"11011111", B"00111111", B"01010001", B"00011110", B"11001001",
4021
 B"00010100", B"11110110", B"00110101", B"11111100", B"11010101",
4022
 B"00101000", B"11011100", B"00100000", B"11000100", B"11010111",
4023
 B"00011001", B"00111011", B"00001011", B"11001010", B"11100001",
4024
 B"00101111", B"00011111", B"11000110", B"11100001", B"00101011",
4025
 B"11101110", B"11110111", B"11001111", B"11010000", B"00001110",
4026
 B"11100010", B"00101101", B"11000100", B"11111011", B"00101101",
4027
 B"11111101", B"00100000", B"11001001", B"11111000", B"00010101",
4028
 B"11100000", B"00101111", B"00000000", B"11111100", B"00000000",
4029
 B"00001011", B"11110110", B"10111111", B"11111100", B"01000011",
4030
 B"00101010", B"00100000", B"00110101", B"00110011", B"00110000",
4031
 B"00010011", B"00100100", B"00110011", B"00010101", B"00010110",
4032
 B"00100100", B"00100010", B"11110011", B"00011111", B"10111000",
4033
 B"11111111", B"00011111", B"11100111", B"00110011", B"11011000",
4034
 B"11100000", B"00000111", B"00011101", B"11000101", B"00011110",
4035
 B"00100000", B"11011000", B"00010111", B"00011101", B"11101000",
4036
 B"11010001", B"11001011", B"11101010", B"11111101", B"00110010",
4037
 B"11110100", B"00101000", B"11001110", B"11110010", B"11100000",
4038
 B"11010011", B"00101100", B"11011000", B"11110011", B"11001101",
4039
 B"11100001", B"11100000", B"00010101", B"11100111", B"00101111",
4040
 B"11001011", B"11101111", B"00010000", B"00000110", B"11100100",
4041
 B"11110110", B"00100101", B"01000000", B"11100100", B"00101100",
4042
 B"00011110", B"11000111", B"11011100", B"10100000", B"00010000",
4043
 B"00000001", B"00011100", B"00101001", B"00101011", B"00101100",
4044
 B"11001000", B"11111100", B"11000110", B"00010011", B"11000011",
4045
 B"11101110", B"00100100", B"00101101", B"11001101", B"11010100",
4046
 B"00010111", B"11001000", B"00100000", B"11000001", B"11001010",
4047
 B"00011001", B"11100011", B"11100001", B"11111110", B"11110011",
4048
 B"00011111", B"11011001", B"11100111", B"00000100", B"00010110",
4049
 B"11100001", B"11100101", B"01010100", B"11010111", B"11101110",
4050
 B"10111111", B"11101000", B"11010100", B"11101101", B"00011000",
4051
 B"00101101", B"00011111", B"01001001", B"11110110", B"10111111"
4052
 
4053
);
4054
 
4055
signal input_counter : integer range 0 to 19999 := 0;
4056
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4057
signal clk : bit;
4058
 
4059
begin
4060
 
4061
process (clk, clear)
4062
begin
4063
if (clear = '1') then
4064
    rxin <= (others => '0');
4065
elsif (clk = '1' and clk'event) then
4066
    rxin <= input_bank(input_counter);
4067
end if;
4068
end process;
4069
 
4070
process (clk, clear)
4071
begin
4072
if (clear = '1') then
4073
    input_counter <= 0;
4074
elsif (clk = '1' and clk'event) then
4075
    if (input_counter < 19999) then
4076
    input_counter <= input_counter + 1;
4077
    else
4078
    input_counter <= 0;
4079
    end if;
4080
end if;
4081
end process;
4082
 
4083
rom_pos <= input_counter;
4084
 
4085
process (clk, clear)
4086
begin
4087
if (clear = '1') then
4088
    start_fifo <= B"0100_0000";
4089
elsif ( clk = '1' and clk'event) then
4090
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4091
end if;
4092
end process;
4093
 
4094
clk   <= clock;
4095
start <= start_fifo (7);
4096
 
4097
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.