OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input6DB.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arif_endro
-- ------------------------------------------------------------------------
2 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
3 18 arif_endro
-- All rights reserved.
4 9 arif_endro
-- 
5 18 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 9 arif_endro
-- 
9 18 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 9 arif_endro
-- 
15 18 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 9 arif_endro
-- 
27 18 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 9 arif_endro
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.std_logic_arith.all;
33
use ieee.std_logic_unsigned.all;
34
 
35
entity input is
36
   port (
37
      clock   : in  bit;
38
      clear   : in  bit;
39
      start   : out bit;
40
      rom_pos : out integer;
41
      rxin    : out bit_vector (07 downto 00)
42
      );
43
end input;
44
 
45
architecture test_bench of input is
46
 
47
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
48
 
49
constant input_bank : rom_bank :=
50
(
51
 
52
 B"00110111", B"00100110", B"11100011", B"00101110", B"00100101",
53
 B"11100111", B"11110011", B"00011110", B"00101100", B"00100001",
54
 B"00010111", B"11010110", B"00110010", B"11100101", B"00000001",
55
 B"11010110", B"11011110", B"11011101", B"00010100", B"11010011",
56
 B"00001001", B"11011110", B"10101000", B"00010011", B"11010110",
57
 B"00011111", B"11011011", B"11101001", B"11101100", B"00110111",
58
 B"00100101", B"11010110", B"11101101", B"00010111", B"00011001",
59
 B"00010010", B"11100110", B"00100010", B"11101001", B"00101101",
60
 B"11111000", B"00101000", B"11010110", B"11100110", B"11010110",
61
 B"00010001", B"00011001", B"00001001", B"11000110", B"11110000",
62
 B"00011110", B"11001101", B"00100011", B"11001011", B"11100101",
63
 B"00001011", B"11010100", B"11111001", B"00101110", B"00001011",
64
 B"00101000", B"00010010", B"11101000", B"11100000", B"00100101",
65
 B"00011010", B"11100100", B"00010010", B"00100101", B"11100001",
66
 B"11010111", B"00100110", B"00100001", B"00010101", B"11101011",
67
 B"11101110", B"00111011", B"00000110", B"11110010", B"11101100",
68
 B"11011111", B"00000101", B"00001110", B"00000100", B"00100110",
69
 B"00011010", B"11110011", B"11111001", B"11000111", B"00111010",
70
 B"11101000", B"00011010", B"11100101", B"11101101", B"00011011",
71
 B"00001101", B"11101101", B"00001011", B"00110000", B"11101111",
72
 B"11001110", B"11011100", B"11011000", B"11000110", B"11100111",
73
 B"11010010", B"11001100", B"11011110", B"00011100", B"00010110",
74
 B"00001110", B"00010000", B"00011111", B"11100011", B"11001010",
75
 B"00010110", B"11100111", B"11010100", B"11101010", B"11111111",
76
 B"00000010", B"00110000", B"11100101", B"00010100", B"00100101",
77
 B"11101000", B"11010010", B"00011100", B"11100101", B"11100000",
78
 B"00101100", B"00011000", B"00110010", B"00001010", B"11011101",
79
 B"11010111", B"11101100", B"00100111", B"00010110", B"00010011",
80
 B"11111001", B"00011000", B"11111000", B"00001000", B"00010101",
81
 B"00001110", B"00100011", B"11101111", B"00010010", B"11011001",
82
 B"00101101", B"11001101", B"11011111", B"00011010", B"11001011",
83
 B"01001011", B"11011100", B"11011000", B"00100000", B"00100011",
84
 B"00010111", B"11011110", B"11100111", B"00011001", B"11010110",
85
 B"11001011", B"11110110", B"11110100", B"11010110", B"00001101",
86
 B"11011100", B"00000001", B"11101111", B"00001010", B"00001010",
87
 B"11011110", B"01000010", B"11010101", B"00110100", B"11010011",
88
 B"11101111", B"11001100", B"00011110", B"00010001", B"00101001",
89
 B"00010100", B"00101111", B"11011000", B"00010111", B"11100011",
90
 B"00110010", B"11110010", B"11101111", B"11100110", B"00111001",
91
 B"00110111", B"00100110", B"00010100", B"11100010", B"11100010",
92
 B"11001010", B"00100100", B"00101000", B"11011000", B"11011010",
93
 B"11011100", B"11110000", B"11101000", B"11010101", B"11110111",
94
 B"00001000", B"00001101", B"00010110", B"01001011", B"11011001",
95
 B"11111011", B"11011000", B"00011110", B"00010101", B"00100010",
96
 B"11010110", B"00010110", B"11000100", B"00001101", B"00110010",
97
 B"11010110", B"11010110", B"00011001", B"11100100", B"11011100",
98
 B"11100011", B"11011001", B"11101110", B"11101101", B"00011000",
99
 B"11011101", B"00110010", B"11011101", B"11011100", B"00010111",
100
 B"00010011", B"00100011", B"00100110", B"00110111", B"00011101",
101
 B"00011011", B"00100010", B"00010001", B"00010100", B"11011001",
102
 B"00011101", B"00110100", B"11010101", B"00110010", B"00110001",
103
 B"11011101", B"00100111", B"00000010", B"00001001", B"00100101",
104
 B"00011001", B"00100010", B"00100010", B"00000001", B"00010111",
105
 B"11000110", B"11010110", B"00010001", B"11101000", B"11010110",
106
 B"11101110", B"11011001", B"11001110", B"11100110", B"00010100",
107
 B"11111001", B"00010010", B"11110111", B"11100010", B"00001101",
108
 B"11111001", B"00011001", B"11111110", B"11100100", B"11010100",
109
 B"00101011", B"00111101", B"11101101", B"00011001", B"00011000",
110
 B"00100000", B"00010000", B"00010001", B"00011101", B"00001100",
111
 B"00001011", B"11000101", B"00011011", B"00110000", B"11011110",
112
 B"11010000", B"11110001", B"11100101", B"11010111", B"11010001",
113
 B"00010011", B"00101100", B"11100100", B"11100000", B"11010100",
114
 B"11100000", B"11111001", B"11011011", B"00101100", B"00011001",
115
 B"00110011", B"11100110", B"00010001", B"11010011", B"00011011",
116
 B"00101001", B"11101001", B"11010001", B"00100111", B"11011011",
117
 B"11111101", B"11100100", B"11110001", B"11110111", B"11011101",
118
 B"00100100", B"00010101", B"00100111", B"00001010", B"11011011",
119
 B"11010111", B"00011111", B"11010101", B"11101001", B"00100100",
120
 B"11110110", B"11110000", B"11001011", B"11010011", B"11110000",
121
 B"11010010", B"00011111", B"11111010", B"00011101", B"11100101",
122
 B"11110001", B"00100110", B"11110111", B"11101001", B"00001000",
123
 B"11010111", B"00001001", B"11110010", B"11010001", B"00010111",
124
 B"11010101", B"00001100", B"11100111", B"11001110", B"11010100",
125
 B"00101000", B"00011001", B"11110000", B"00010000", B"11011101",
126
 B"00100010", B"11011110", B"11011011", B"11101010", B"00110000",
127
 B"00011101", B"11100001", B"11011110", B"00100100", B"11010000",
128
 B"00100011", B"11011010", B"11101110", B"00101011", B"11100011",
129
 B"11011111", B"11010101", B"11011010", B"00010110", B"00101011",
130
 B"00101010", B"00011101", B"00011000", B"11110011", B"11101000",
131
 B"00111111", B"11110010", B"11100010", B"11100000", B"11110111",
132
 B"11100101", B"00010100", B"00011011", B"00100110", B"11010010",
133
 B"00110010", B"11101010", B"00011101", B"00110001", B"00110001",
134
 B"11010101", B"00101001", B"00100111", B"11101111", B"11001001",
135
 B"11110100", B"00000010", B"11011000", B"11111100", B"11110110",
136
 B"00101010", B"00010101", B"00100110", B"00110000", B"00110101",
137
 B"11100010", B"11001001", B"00101101", B"11101111", B"11100111",
138
 B"11000011", B"11001101", B"00011100", B"00010110", B"00100000",
139
 B"00010000", B"00101011", B"00100000", B"00111001", B"00100110",
140
 B"11101111", B"11101110", B"11011011", B"00011100", B"00100111",
141
 B"11110111", B"00100010", B"11010001", B"00010010", B"00110000",
142
 B"00110100", B"11111000", B"00011000", B"11101111", B"00100000",
143
 B"11111001", B"00101111", B"11010001", B"00111001", B"11001100",
144
 B"11010010", B"11011100", B"00011000", B"00101010", B"00110110",
145
 B"11010100", B"11010010", B"11011001", B"11001111", B"00100011",
146
 B"11111000", B"00110011", B"11011010", B"10111100", B"11011111",
147
 B"11011110", B"00011110", B"00011111", B"00011010", B"00101100",
148
 B"00100010", B"00000010", B"00010101", B"00011000", B"11101100",
149
 B"00100111", B"00011110", B"11010011", B"11000111", B"00010000",
150
 B"11011001", B"00101001", B"11010101", B"11000010", B"00011110",
151
 B"00110010", B"11101100", B"00111010", B"11101011", B"11010100",
152
 B"10111101", B"00001010", B"00010010", B"11011100", B"00101111",
153
 B"11101101", B"11010000", B"11101011", B"11011010", B"00111110",
154
 B"11100001", B"00110000", B"00011000", B"00101100", B"11011000",
155
 B"11100000", B"00100011", B"00101110", B"11000110", B"11100110",
156
 B"11100100", B"11110100", B"00100010", B"11100000", B"00101100",
157
 B"11011110", B"11001000", B"00100010", B"11010110", B"00101111",
158
 B"00111010", B"00110001", B"11010110", B"00100000", B"11010010",
159
 B"00010100", B"11101100", B"00010101", B"00100101", B"00100111",
160
 B"11100110", B"00100100", B"11110011", B"00011111", B"00111001",
161
 B"00001101", B"00010100", B"10111111", B"00011010", B"11110011",
162
 B"00001111", B"11010010", B"11101001", B"01000001", B"00111111",
163
 B"11111101", B"11100110", B"00110100", B"11001111", B"00011010",
164
 B"11100110", B"11001001", B"11001100", B"00101000", B"01000010",
165
 B"11001000", B"00101001", B"11010010", B"00011100", B"11010111",
166
 B"11100001", B"00111000", B"11001000", B"11100101", B"11000101",
167
 B"11010011", B"11010010", B"11010010", B"11010010", B"11100001",
168
 B"01000011", B"00001001", B"00101101", B"00010011", B"11110011",
169
 B"11010100", B"00101001", B"11011011", B"00011000", B"11111000",
170
 B"11010010", B"00011110", B"00110101", B"00001111", B"00100101",
171
 B"00011101", B"00100011", B"11111111", B"00011010", B"00100001",
172
 B"00110111", B"00110100", B"00011011", B"00001001", B"00100100",
173
 B"00101101", B"00101011", B"00100101", B"11100110", B"11010110",
174
 B"00000100", B"11010000", B"00101100", B"00100001", B"00101101",
175
 B"00110000", B"11100110", B"00010111", B"11100000", B"11101101",
176
 B"11010010", B"11101001", B"00101010", B"00100111", B"11011000",
177
 B"00010011", B"00011011", B"11000111", B"11010100", B"11011101",
178
 B"11101011", B"11000001", B"01000111", B"00100101", B"00001011",
179
 B"11100110", B"00100110", B"00100111", B"11011110", B"00010000",
180
 B"11110100", B"11011100", B"11100111", B"00100101", B"00100010",
181
 B"00000111", B"00000101", B"11101001", B"00101111", B"00011101",
182
 B"11101100", B"00001101", B"00100100", B"11011000", B"11100110",
183
 B"00110111", B"00001110", B"00001011", B"11000111", B"00001010",
184
 B"00010110", B"11001000", B"11011011", B"00101101", B"00100101",
185
 B"00010111", B"11010101", B"11101111", B"00100000", B"00101000",
186
 B"11011010", B"11011110", B"00000101", B"00010010", B"00100011",
187
 B"00010101", B"11001000", B"00100010", B"11110011", B"00011001",
188
 B"11011100", B"11100000", B"00101010", B"11100010", B"00100001",
189
 B"11000111", B"11101010", B"00110011", B"11100001", B"11000101",
190
 B"00010110", B"11111100", B"00010110", B"11100110", B"11101000",
191
 B"00101111", B"00100100", B"00101011", B"00010100", B"00100010",
192
 B"00101011", B"00110100", B"00011100", B"00110110", B"00011011",
193
 B"00001110", B"00011010", B"00001011", B"00100001", B"00010100",
194
 B"00101100", B"00011011", B"11010011", B"00101001", B"00010101",
195
 B"11100111", B"11101001", B"11100111", B"11110101", B"11101111",
196
 B"11001001", B"00100110", B"11010100", B"00001010", B"11101000",
197
 B"00001111", B"00100000", B"00101011", B"11110100", B"00100011",
198
 B"11100100", B"00101101", B"11110010", B"11011100", B"00100010",
199
 B"00101000", B"00100111", B"00100100", B"00110010", B"00100011",
200
 B"00010111", B"00110000", B"00011001", B"00100110", B"00101000",
201
 B"11101110", B"11001111", B"11100010", B"11011001", B"00100111",
202
 B"11010000", B"00101011", B"00011001", B"00110001", B"00011000",
203
 B"11001101", B"00100100", B"11011111", B"00010111", B"11011111",
204
 B"11010111", B"11011111", B"11011010", B"11101010", B"00110000",
205
 B"00101110", B"00101101", B"00010000", B"11100100", B"00001000",
206
 B"11000111", B"00110001", B"11000101", B"11010011", B"01000110",
207
 B"00101110", B"00110101", B"10110100", B"11100100", B"00000111",
208
 B"11110000", B"11100001", B"11100101", B"11011100", B"00011101",
209
 B"11101000", B"00000001", B"00111001", B"11111111", B"11111100",
210
 B"00100101", B"11111111", B"00100010", B"00001001", B"00011001",
211
 B"00101000", B"00011000", B"00011010", B"11111110", B"00001110",
212
 B"11101101", B"00010110", B"11011101", B"11110000", B"11001000",
213
 B"00100100", B"00011000", B"11001010", B"11101011", B"11110000",
214
 B"00011100", B"00111110", B"00110000", B"00001001", B"11010010",
215
 B"11001100", B"00011010", B"00101010", B"00010011", B"00011111",
216
 B"00111000", B"00011011", B"00011011", B"00101011", B"00010001",
217
 B"00010101", B"11010010", B"11010011", B"00110100", B"00001101",
218
 B"11100001", B"11101011", B"11110010", B"11100100", B"10110110",
219
 B"11100100", B"00011010", B"00010011", B"00011011", B"00100100",
220
 B"00000100", B"00111100", B"00010001", B"11100100", B"00001001",
221
 B"11100001", B"00011110", B"11100000", B"00101100", B"00101001",
222
 B"00001111", B"00101000", B"00001001", B"00011001", B"00010000",
223
 B"00010111", B"00011111", B"00011011", B"00101101", B"00010110",
224
 B"00001010", B"00001100", B"00101010", B"00100011", B"11100011",
225
 B"00001111", B"11111110", B"10111110", B"11110010", B"00101111",
226
 B"00101001", B"11111110", B"00100000", B"11110010", B"00100001",
227
 B"00100100", B"11110000", B"00011010", B"00100101", B"11011110",
228
 B"11011011", B"11101101", B"00100000", B"11001000", B"00010111",
229
 B"11101110", B"11011001", B"11110111", B"00110011", B"00010001",
230
 B"11010100", B"10110011", B"00100010", B"11111110", B"11101011",
231
 B"11010001", B"11111101", B"00001000", B"00101001", B"11010010",
232
 B"00110110", B"11010101", B"00000101", B"11001101", B"11010110",
233
 B"00101101", B"01000001", B"00101110", B"11101011", B"00100111",
234
 B"11001001", B"00100001", B"00011101", B"11010011", B"00110001",
235
 B"11100100", B"11101001", B"11101111", B"00010101", B"00100110",
236
 B"00011011", B"00100000", B"00100011", B"00101111", B"00100001",
237
 B"00011110", B"00110111", B"00010000", B"00111011", B"11110101",
238
 B"00011010", B"00100111", B"11010101", B"00011110", B"00000101",
239
 B"11000111", B"11011101", B"11001101", B"11010100", B"11011111",
240
 B"00011101", B"00011100", B"00101010", B"00101010", B"00100111",
241
 B"11101110", B"00010110", B"00100101", B"11100100", B"00011111",
242
 B"00101110", B"11100110", B"00100100", B"00101111", B"11101101",
243
 B"00110100", B"00100101", B"11100110", B"11011000", B"00011010",
244
 B"00101100", B"11110010", B"00101100", B"11010001", B"11110000",
245
 B"11011100", B"00100011", B"00001100", B"00100001", B"00010111",
246
 B"01000010", B"00100010", B"00100000", B"00011001", B"11110011",
247
 B"00100011", B"00101010", B"00011010", B"00101001", B"11110111",
248
 B"00100000", B"11101000", B"00011001", B"11110101", B"01000111",
249
 B"11100001", B"00100001", B"00110010", B"11011000", B"00001011",
250
 B"00010110", B"11100011", B"11011001", B"00010110", B"00000101",
251
 B"00101000", B"11100110", B"00100001", B"11110010", B"00011110",
252
 B"01001011", B"00000110", B"00000000", B"00001000", B"00011111",
253
 B"00100010", B"00011000", B"00100000", B"11111001", B"11100000",
254
 B"00101111", B"11011111", B"00010010", B"11100100", B"11010000",
255
 B"00010111", B"11101000", B"11100110", B"00110011", B"00100111",
256
 B"00101011", B"00100101", B"11010010", B"11100101", B"10111001",
257
 B"11011100", B"00000011", B"00101111", B"00101001", B"11110001",
258
 B"00010011", B"11101010", B"11101110", B"11110100", B"00110000",
259
 B"00011100", B"00000000", B"00100101", B"11100001", B"11110111",
260
 B"11011101", B"00100000", B"00101100", B"11100010", B"11011101",
261
 B"11100001", B"11111011", B"11010111", B"00011100", B"00010011",
262
 B"11100001", B"00100001", B"00001010", B"11010000", B"11111111",
263
 B"00100110", B"00001101", B"11110001", B"11111110", B"11101111",
264
 B"11100101", B"11011001", B"00100001", B"00100010", B"00101100",
265
 B"00011010", B"00011001", B"11011010", B"00001101", B"11101001",
266
 B"00011100", B"11101001", B"00100011", B"11110011", B"00001101",
267
 B"11011011", B"11100111", B"11010011", B"00010101", B"00011100",
268
 B"11001011", B"00001100", B"00010111", B"11001100", B"11101110",
269
 B"11010111", B"11101101", B"10111110", B"00100000", B"00101111",
270
 B"11011000", B"11100001", B"00100100", B"00000110", B"11101001",
271
 B"11010000", B"11011000", B"00011101", B"11011010", B"00110011",
272
 B"11100001", B"11110100", B"00011000", B"00001111", B"00011101",
273
 B"11010010", B"11101000", B"11001110", B"11001111", B"00101011",
274
 B"11100000", B"00001001", B"11110010", B"11100110", B"00011010",
275
 B"11101111", B"00110110", B"10110100", B"10111101", B"00100010",
276
 B"00101101", B"00010110", B"10110011", B"00010010", B"00101000",
277
 B"11001011", B"11110000", B"00010111", B"11001011", B"11110000",
278
 B"11011111", B"11010101", B"11111110", B"01000100", B"00010110",
279
 B"00100100", B"11010011", B"00100000", B"11101101", B"00010000",
280
 B"11001011", B"11100011", B"00011000", B"00010001", B"00010010",
281
 B"00011011", B"11110001", B"11101000", B"01000110", B"00010011",
282
 B"11000100", B"11100001", B"00100000", B"00011011", B"11100001",
283
 B"11100001", B"00110100", B"00010110", B"11011111", B"11001100",
284
 B"00100000", B"00100001", B"11010100", B"00000110", B"00100010",
285
 B"11110010", B"11100111", B"00001100", B"00100100", B"11001100",
286
 B"11100111", B"11111011", B"11001100", B"00101000", B"11110110",
287
 B"00010001", B"00011011", B"00000100", B"11101111", B"00110000",
288
 B"00001011", B"11100010", B"11100011", B"00101100", B"00011010",
289
 B"00011100", B"00000101", B"00010010", B"00010111", B"00100001",
290
 B"00110000", B"00010100", B"00101001", B"00101011", B"00001010",
291
 B"11011111", B"00100000", B"11110011", B"00100010", B"11001001",
292
 B"00000001", B"11101111", B"11100111", B"00001110", B"11100011",
293
 B"11011001", B"11011111", B"11011010", B"11100100", B"11011001",
294
 B"00101110", B"00011100", B"00101010", B"00001000", B"11101100",
295
 B"00001010", B"00000110", B"00010000", B"00010011", B"11010100",
296
 B"00100100", B"11101000", B"00100110", B"11011100", B"00011101",
297
 B"00000110", B"11101010", B"00100100", B"11100000", B"11101111",
298
 B"11010001", B"11000101", B"11100011", B"11110001", B"11110101",
299
 B"00011101", B"00101000", B"11100100", B"00001100", B"11000111",
300
 B"00011010", B"11011110", B"11100101", B"11111100", B"11010010",
301
 B"11111000", B"11101101", B"11000101", B"11100010", B"11001011",
302
 B"00101011", B"11100011", B"00101010", B"11101011", B"11011000",
303
 B"00001111", B"00101010", B"00011100", B"00000110", B"11011011",
304
 B"00011101", B"11101101", B"00000001", B"11001111", B"11101001",
305
 B"11011001", B"00100110", B"00000000", B"00011010", B"11010111",
306
 B"11001010", B"00101010", B"00101001", B"00100001", B"00101111",
307
 B"11011011", B"00001001", B"11010100", B"00011101", B"11110000",
308
 B"00110010", B"01000001", B"00001101", B"11101011", B"01001011",
309
 B"00110110", B"10110000", B"11101100", B"11011111", B"00101000",
310
 B"00110000", B"00010001", B"11001100", B"00111110", B"11010111",
311
 B"00000101", B"11011100", B"00011001", B"00001111", B"11011111",
312
 B"11011111", B"11010010", B"11000010", B"11001010", B"11100100",
313
 B"00110001", B"11001100", B"00010001", B"11101100", B"11011110",
314
 B"00101110", B"00010000", B"00100010", B"11011100", B"11100010",
315
 B"01000011", B"11101011", B"11011000", B"11010111", B"11001101",
316
 B"11101110", B"11010110", B"00001011", B"11101010", B"00111111",
317
 B"11101000", B"11001101", B"00000001", B"00110001", B"11011010",
318
 B"11010110", B"00000111", B"11010011", B"11011011", B"11110101",
319
 B"11110000", B"11011100", B"00010000", B"11000110", B"00110010",
320
 B"11011100", B"11001011", B"11110111", B"00011011", B"00110011",
321
 B"00100101", B"00000000", B"11011111", B"01000100", B"00100110",
322
 B"11011000", B"11011111", B"00010101", B"00011111", B"11111101",
323
 B"00011110", B"00010100", B"11101100", B"11011110", B"00011001",
324
 B"11111101", B"11101011", B"11111000", B"00100011", B"00101010",
325
 B"11011100", B"00010110", B"11101001", B"11010111", B"11110101",
326
 B"00110000", B"11001010", B"00010000", B"11110010", B"11110100",
327
 B"00101001", B"11101110", B"00011101", B"11101011", B"11011010",
328
 B"11011110", B"00010110", B"00100001", B"11011110", B"00111110",
329
 B"00110010", B"11100010", B"00100001", B"01000100", B"11100010",
330
 B"11100111", B"00110000", B"11100110", B"11101100", B"11100010",
331
 B"00110010", B"00100010", B"11100001", B"00110000", B"11100001",
332
 B"11101000", B"11110010", B"00110011", B"00100101", B"11010011",
333
 B"00010011", B"11011100", B"00100001", B"00011100", B"00100011",
334
 B"11011111", B"00010010", B"01001100", B"11100011", B"11100010",
335
 B"00101010", B"11011110", B"11100010", B"11111000", B"11101100",
336
 B"00111000", B"00101111", B"00011001", B"11111111", B"00110101",
337
 B"00010110", B"11011100", B"00101001", B"00011110", B"11011010",
338
 B"11011000", B"00011111", B"00100001", B"11010001", B"11000111",
339
 B"00110010", B"11000011", B"11110100", B"11011011", B"11100011",
340
 B"11010011", B"11011111", B"00000011", B"00101101", B"11111100",
341
 B"11100011", B"00110000", B"11100101", B"11100110", B"11100001",
342
 B"00010001", B"00010110", B"01000110", B"00010101", B"11100110",
343
 B"10110101", B"00101110", B"00010111", B"00100110", B"00001001",
344
 B"00001010", B"00001111", B"11111110", B"00001100", B"00101110",
345
 B"00001010", B"11111001", B"00101111", B"00010110", B"00101000",
346
 B"00010110", B"11111111", B"11111011", B"00010111", B"00011111",
347
 B"00110110", B"00010110", B"00011011", B"00100111", B"00101111",
348
 B"00110100", B"11101101", B"11010011", B"00001100", B"10110110",
349
 B"11001100", B"11101101", B"11101010", B"11110110", B"11101111",
350
 B"11001100", B"00000101", B"00010101", B"11101011", B"00011001",
351
 B"11101010", B"00100001", B"11011011", B"00101111", B"11010110",
352
 B"11100011", B"11100010", B"00001101", B"00111001", B"11110001",
353
 B"00011000", B"11011101", B"00010010", B"11100100", B"11110101",
354
 B"00011000", B"00101001", B"11110101", B"00011001", B"00011000",
355
 B"00101100", B"11010111", B"00100101", B"11000010", B"00011011",
356
 B"00010110", B"11011100", B"00110011", B"00010010", B"11101001",
357
 B"00110110", B"00010010", B"11101100", B"00111110", B"00010011",
358
 B"00010000", B"11110110", B"00010011", B"11100111", B"00110010",
359
 B"11000101", B"00100100", B"00001000", B"00101000", B"11011010",
360
 B"11100001", B"11100000", B"00100110", B"00011001", B"00001100",
361
 B"11010011", B"11010100", B"00010101", B"11100111", B"11111110",
362
 B"11101100", B"11011111", B"11011100", B"11010110", B"00101101",
363
 B"11110110", B"00001111", B"11001110", B"11000000", B"00100110",
364
 B"11110100", B"00100111", B"11100100", B"11011001", B"11101111",
365
 B"00011111", B"00100100", B"11100110", B"11011011", B"00100010",
366
 B"00110001", B"00100011", B"11011101", B"00110111", B"11001101",
367
 B"00101100", B"11101000", B"11100110", B"11011011", B"11110011",
368
 B"00100000", B"00010101", B"00100110", B"00101011", B"11011111",
369
 B"11101000", B"11010001", B"11111011", B"00100111", B"00101000",
370
 B"00101001", B"01000101", B"11110010", B"11010001", B"00011110",
371
 B"11001111", B"00010000", B"11010100", B"11100111", B"00001100",
372
 B"00101000", B"00001110", B"00011010", B"11101100", B"00100101",
373
 B"11011100", B"00100001", B"11100011", B"11011101", B"00101010",
374
 B"11001110", B"11101001", B"11011011", B"00001110", B"00000111",
375
 B"11100010", B"11011101", B"00111001", B"00011000", B"00010110",
376
 B"11010111", B"00101011", B"11000100", B"00110111", B"00111010",
377
 B"00100011", B"11010011", B"11001100", B"01010100", B"00100111",
378
 B"11010101", B"11110101", B"00101101", B"00000010", B"11100110",
379
 B"00111111", B"00011111", B"11111011", B"11011011", B"00100111",
380
 B"00110000", B"11101101", B"00011000", B"00010011", B"11011000",
381
 B"00100111", B"00010100", B"11100101", B"00011000", B"00101010",
382
 B"11001010", B"00001011", B"00100100", B"11000000", B"11001101",
383
 B"00100010", B"00101001", B"00101110", B"00000100", B"00111111",
384
 B"00100110", B"11101100", B"11010101", B"00011110", B"00101001",
385
 B"01001101", B"11110000", B"00111001", B"00100001", B"11110000",
386
 B"11001111", B"00011010", B"00100000", B"11110011", B"00111010",
387
 B"11001111", B"11001101", B"11011000", B"00011100", B"00110011",
388
 B"00011100", B"11011001", B"11011100", B"10111110", B"11110110",
389
 B"00010011", B"11110011", B"00110011", B"11100000", B"11100111",
390
 B"00001010", B"11101100", B"00001100", B"11011011", B"11101000",
391
 B"00010111", B"11011111", B"11111011", B"00001001", B"00110011",
392
 B"11111001", B"00010110", B"11101001", B"00000110", B"11000110",
393
 B"11000110", B"00101011", B"11001100", B"00101011", B"11001101",
394
 B"11001101", B"00010010", B"11011110", B"00010100", B"00011111",
395
 B"11001101", B"11101111", B"11101011", B"11010101", B"11001001",
396
 B"11011100", B"00001111", B"00101101", B"11100000", B"11111011",
397
 B"11001010", B"11110100", B"11101101", B"00011010", B"11001100",
398
 B"00100111", B"11011000", B"11101101", B"11100110", B"00100001",
399
 B"00010011", B"00011010", B"00010100", B"11110010", B"11010001",
400
 B"00010000", B"00011010", B"11110011", B"11101101", B"00101001",
401
 B"11101111", B"00000110", B"00001111", B"11100101", B"00101001",
402
 B"00100010", B"11011101", B"00011100", B"00011110", B"00010011",
403
 B"00010101", B"00011111", B"00101101", B"00011000", B"00100011",
404
 B"11000110", B"11010101", B"00011110", B"00010111", B"00100001",
405
 B"00010101", B"11011000", B"11011100", B"11011111", B"11110010",
406
 B"00101001", B"00100001", B"00101101", B"00100100", B"11010110",
407
 B"11101011", B"11001010", B"11111101", B"00010111", B"00011001",
408
 B"11011111", B"00100101", B"11011111", B"00100000", B"11011101",
409
 B"00101000", B"00100000", B"11101010", B"11100011", B"11100001",
410
 B"11101111", B"11011111", B"00011110", B"00001011", B"00001111",
411
 B"00001000", B"00101001", B"00010100", B"00001000", B"00110001",
412
 B"11100110", B"11011111", B"11110110", B"00001010", B"00101111",
413
 B"11011010", B"00000010", B"11101001", B"00001001", B"11100101",
414
 B"11010101", B"00001000", B"11101101", B"11010111", B"11100010",
415
 B"11100101", B"11011110", B"11011101", B"00010110", B"11001010",
416
 B"00011001", B"11110101", B"11011110", B"00111011", B"00101100",
417
 B"00100001", B"11101001", B"00011011", B"00011111", B"11011100",
418
 B"11011000", B"00100111", B"00011100", B"00111110", B"01000001",
419
 B"00011100", B"00011101", B"00010011", B"00111110", B"00100110",
420
 B"11110010", B"11101110", B"11101000", B"10110111", B"00101101",
421
 B"00110010", B"00110010", B"00101101", B"11001001", B"00000110",
422
 B"11100101", B"00110101", B"11011010", B"10111101", B"00011011",
423
 B"00100010", B"11010011", B"00110010", B"11101010", B"00101000",
424
 B"11011110", B"11101100", B"11111110", B"00111010", B"00111011",
425
 B"11101001", B"11111010", B"00100110", B"11001010", B"01000001",
426
 B"00101010", B"11100001", B"11100010", B"11001011", B"00100000",
427
 B"11011010", B"00010101", B"11100010", B"11100011", B"00101110",
428
 B"00001111", B"00011111", B"11101110", B"00101101", B"00100010",
429
 B"11110010", B"11001110", B"00100110", B"11110000", B"00000000",
430
 B"00110111", B"11010111", B"11110010", B"11011110", B"11100110",
431
 B"11011000", B"11000100", B"00110110", B"00001001", B"11011011",
432
 B"11110110", B"11101011", B"11001110", B"11101111", B"11100100",
433
 B"00001101", B"11011100", B"11100100", B"11011111", B"00101011",
434
 B"00101000", B"11100001", B"11011001", B"00011001", B"00110011",
435
 B"00010010", B"11101110", B"00010001", B"11000101", B"11111101",
436
 B"00001100", B"00001111", B"00101011", B"00010111", B"00011011",
437
 B"11110111", B"00110010", B"00011010", B"11100010", B"00100111",
438
 B"00011110", B"11111000", B"11010111", B"11001111", B"11110100",
439
 B"11010110", B"11000110", B"11100100", B"11110001", B"00101100",
440
 B"00001010", B"11111110", B"00100100", B"11101100", B"00010011",
441
 B"00110100", B"00110001", B"00101010", B"00100010", B"00001001",
442
 B"00100001", B"00001110", B"00100111", B"11111010", B"11010011",
443
 B"00100010", B"11001110", B"11011000", B"11011110", B"11101110",
444
 B"11111001", B"00100001", B"00001001", B"00011110", B"11100100",
445
 B"00100010", B"00010000", B"00110111", B"00101010", B"11011001",
446
 B"00001111", B"11000011", B"11110101", B"11001101", B"00101001",
447
 B"00000111", B"11110110", B"00100000", B"11011000", B"00010100",
448
 B"01000110", B"11001011", B"11011001", B"00001110", B"11011011",
449
 B"11100010", B"00101001", B"00100011", B"00100010", B"00101000",
450
 B"11011010", B"11101101", B"01000110", B"11011100", B"00100101",
451
 B"00010110", B"11001000", B"00100010", B"00101110", B"11001111",
452
 B"11100110", B"00101010", B"00001100", B"00110101", B"11101101",
453
 B"00001011", B"11010110", B"00011001", B"00011111", B"11011000",
454
 B"11001000", B"00010110", B"11100100", B"11011110", B"11111011",
455
 B"11100101", B"00011000", B"11011100", B"00011001", B"00011111",
456
 B"11101100", B"00111000", B"00011100", B"11011011", B"11010100",
457
 B"11100001", B"11110101", B"11110001", B"00100101", B"00011010",
458
 B"00011000", B"00100100", B"11111000", B"00100010", B"11001000",
459
 B"11011001", B"00110001", B"00100111", B"11010010", B"11100010",
460
 B"00100111", B"11101111", B"00010010", B"11101100", B"11100001",
461
 B"11001010", B"00000001", B"00011101", B"00011010", B"00100010",
462
 B"00110100", B"00010110", B"00011000", B"00100000", B"00110110",
463
 B"00101001", B"11010110", B"11011100", B"00011101", B"01000100",
464
 B"00101100", B"00011110", B"11010101", B"11011100", B"00110011",
465
 B"11001010", B"11101011", B"00100011", B"11111101", B"11011110",
466
 B"11010100", B"11111000", B"11011111", B"11101110", B"11010110",
467
 B"00010110", B"00011100", B"11010111", B"00010011", B"11101010",
468
 B"11101001", B"11100011", B"11010101", B"11100001", B"00010000",
469
 B"00100100", B"00100111", B"00010111", B"11000101", B"00111100",
470
 B"00011101", B"00011010", B"00000000", B"00100011", B"11101101",
471
 B"00011010", B"11100011", B"00010011", B"00100010", B"00011100",
472
 B"11011011", B"00010001", B"11001010", B"00010010", B"00010110",
473
 B"11100000", B"11010111", B"00010000", B"11011001", B"11011101",
474
 B"11011111", B"11011100", B"11010101", B"00100101", B"00011011",
475
 B"00011011", B"11101111", B"00011101", B"11100101", B"00101000",
476
 B"11100011", B"00010101", B"00000100", B"00101110", B"11010111",
477
 B"00001100", B"11100011", B"00111110", B"11100000", B"11011010",
478
 B"00110001", B"00101001", B"00011011", B"00100001", B"11010111",
479
 B"11111101", B"11100001", B"00100001", B"00001000", B"00011100",
480
 B"11100101", B"00011010", B"11000001", B"00010101", B"11000100",
481
 B"11110100", B"00100111", B"00001110", B"00100001", B"00000101",
482
 B"00000011", B"11100011", B"00110100", B"00011100", B"11110000",
483
 B"11100010", B"00011101", B"00101101", B"11010101", B"11011111",
484
 B"00011000", B"11001101", B"11100111", B"00011111", B"11101100",
485
 B"11100111", B"11011011", B"11101110", B"11010100", B"00001000",
486
 B"00011100", B"11110011", B"11010100", B"11100111", B"11101100",
487
 B"11010100", B"00101110", B"11101100", B"00011100", B"11100010",
488
 B"11010110", B"11110001", B"00011011", B"00100010", B"01001000",
489
 B"00100111", B"11101111", B"11100011", B"00110001", B"00101110",
490
 B"11011010", B"11101000", B"00111110", B"11011011", B"11101011",
491
 B"11111010", B"11000110", B"01000011", B"11101100", B"00111010",
492
 B"00011011", B"11101011", B"00010010", B"00001000", B"11011101",
493
 B"00100100", B"00010101", B"11001000", B"00010000", B"00011101",
494
 B"11010000", B"00011010", B"00001011", B"11010111", B"11100101",
495
 B"00100101", B"11100010", B"00011011", B"00000101", B"11100100",
496
 B"11110000", B"00011001", B"00011001", B"11100111", B"11010110",
497
 B"00010010", B"00111001", B"11111001", B"11010101", B"11001100",
498
 B"11101001", B"11111001", B"00101000", B"11011010", B"11000001",
499
 B"11011001", B"11101100", B"01000100", B"11010010", B"00001111",
500
 B"11010010", B"11100000", B"11011110", B"00100101", B"00100100",
501
 B"11101011", B"00101100", B"11010011", B"00111100", B"00111011",
502
 B"00110001", B"11101101", B"00100100", B"11100001", B"00010100",
503
 B"11010000", B"11101100", B"00001100", B"00011000", B"11010100",
504
 B"11011010", B"11100010", B"11111110", B"11000111", B"00010110",
505
 B"11101011", B"11100110", B"00011000", B"11101001", B"11110011",
506
 B"11110001", B"11101011", B"00000010", B"00110001", B"00101000",
507
 B"11111100", B"11110110", B"11110011", B"11100100", B"10111100",
508
 B"11110111", B"11001100", B"11010011", B"11010111", B"11111010",
509
 B"00011000", B"11110100", B"00100110", B"11001111", B"11110010",
510
 B"11011101", B"11010001", B"00100101", B"00101010", B"00011111",
511
 B"00101110", B"00001001", B"00011010", B"11110110", B"00110110",
512
 B"00001001", B"11011111", B"11010110", B"00011101", B"11011011",
513
 B"00100110", B"11111010", B"00001111", B"11110101", B"11111111",
514
 B"00100101", B"00011111", B"00010101", B"00011011", B"11011000",
515
 B"11101010", B"00001000", B"00101011", B"11100010", B"11101010",
516
 B"00110100", B"11010111", B"00101001", B"11100000", B"11010011",
517
 B"11011110", B"00110001", B"00010000", B"11011000", B"00110111",
518
 B"11011101", B"00101001", B"11101101", B"11110010", B"00110010",
519
 B"00101111", B"00100110", B"00010100", B"00101111", B"00100000",
520
 B"00011010", B"11101111", B"11111111", B"00100101", B"00011010",
521
 B"00010011", B"00111100", B"00000001", B"00100000", B"00101110",
522
 B"00100100", B"00100011", B"00110001", B"00101101", B"00100101",
523
 B"11100011", B"00010011", B"11010000", B"11111010", B"11010001",
524
 B"00111100", B"11011000", B"11101110", B"00011101", B"11100101",
525
 B"11110111", B"11001100", B"11011111", B"11010111", B"10111100",
526
 B"11001100", B"11010100", B"00000010", B"00001101", B"00010110",
527
 B"00100111", B"00010110", B"00110010", B"11100111", B"00011001",
528
 B"11111111", B"11101010", B"11000000", B"00111001", B"00100000",
529
 B"11011011", B"00110010", B"00011100", B"11010011", B"00100011",
530
 B"00011011", B"11011110", B"11010111", B"11100111", B"00101110",
531
 B"00101001", B"00010111", B"00101001", B"11010010", B"00001011",
532
 B"00010110", B"11010010", B"00101010", B"00101010", B"11011001",
533
 B"00011001", B"00100000", B"11010100", B"00010101", B"00011110",
534
 B"00110111", B"00000100", B"00100001", B"11100001", B"00010100",
535
 B"11101000", B"00101011", B"00100100", B"00101001", B"00010111",
536
 B"00011110", B"00110001", B"00111100", B"00011111", B"11010110",
537
 B"00001100", B"11101011", B"00010000", B"11100011", B"11011011",
538
 B"00010110", B"00010111", B"11101001", B"00100101", B"00100010",
539
 B"11001100", B"11011101", B"11111000", B"11010011", B"11011000",
540
 B"11100001", B"00011001", B"11011110", B"11010110", B"11010111",
541
 B"00111101", B"00010100", B"11100000", B"00001101", B"11011111",
542
 B"00010110", B"11101011", B"11011100", B"10111100", B"00001011",
543
 B"00100100", B"11110011", B"11101101", B"00011001", B"11110010",
544
 B"00100011", B"11101101", B"11011110", B"00100010", B"11011000",
545
 B"11000111", B"00011001", B"00100010", B"00100001", B"00100000",
546
 B"11011000", B"11010000", B"00000110", B"00010001", B"11101101",
547
 B"00101101", B"11101111", B"11101001", B"00010001", B"00011101",
548
 B"00100011", B"00101011", B"11010000", B"00011010", B"11111101",
549
 B"11100110", B"11010011", B"00100000", B"11101100", B"11101110",
550
 B"00011001", B"11011100", B"00101010", B"11100101", B"11010110",
551
 B"00011001", B"11110111", B"11001110", B"11010101", B"11100111",
552
 B"00100011", B"00000000", B"00101110", B"00010011", B"11100011",
553
 B"00011111", B"00011001", B"00010110", B"11101000", B"00001011",
554
 B"11110010", B"00010110", B"00010011", B"11011111", B"00001011",
555
 B"11100011", B"11010111", B"01001010", B"11010000", B"00010010",
556
 B"00011111", B"11100111", B"00110111", B"11111001", B"11100101",
557
 B"11000100", B"00101100", B"00101100", B"00100000", B"00010111",
558
 B"11101100", B"00000011", B"00000111", B"11100110", B"11101011",
559
 B"00110100", B"11011011", B"11011100", B"00001100", B"11010100",
560
 B"00111010", B"11100001", B"11011011", B"00010100", B"00000111",
561
 B"11011000", B"11110011", B"11101001", B"11101100", B"00001000",
562
 B"11110101", B"00100110", B"11101100", B"11011000", B"11010011",
563
 B"00001000", B"00101000", B"11110001", B"00100110", B"11101100",
564
 B"11100011", B"00101001", B"11101010", B"11100011", B"11100101",
565
 B"00100010", B"01001010", B"11001100", B"00101000", B"11100111",
566
 B"10111110", B"00001111", B"00000001", B"11100100", B"11111010",
567
 B"11001010", B"00000100", B"11101000", B"00010101", B"11011010",
568
 B"11100101", B"11010010", B"00100111", B"00101001", B"11011010",
569
 B"00100000", B"11111001", B"00000111", B"11100001", B"11010100",
570
 B"00011110", B"00011011", B"11010011", B"00010001", B"00100110",
571
 B"11100100", B"11011100", B"11100100", B"11101111", B"11011010",
572
 B"00101110", B"00111101", B"00011000", B"11101110", B"00100101",
573
 B"11010101", B"00011110", B"11100000", B"00011111", B"00010100",
574
 B"00011111", B"11001100", B"00100011", B"11011101", B"00010101",
575
 B"11100100", B"11100101", B"00010101", B"00010001", B"00001101",
576
 B"11011100", B"00011111", B"11001010", B"00011111", B"00011101",
577
 B"11001111", B"00111100", B"00010111", B"11100010", B"00010111",
578
 B"00111011", B"11100101", B"11010110", B"00011010", B"11001111",
579
 B"00110111", B"11100001", B"11101101", B"00010110", B"01000000",
580
 B"11011110", B"11100010", B"11100010", B"11011011", B"00001010",
581
 B"00001101", B"00110011", B"00110010", B"11100000", B"11101000",
582
 B"01000110", B"00100010", B"00101011", B"00010110", B"11001000",
583
 B"11011100", B"11100011", B"00101111", B"00101010", B"00011110",
584
 B"11100011", B"00100111", B"11011111", B"00010000", B"00110011",
585
 B"11100100", B"00010111", B"11010111", B"11011010", B"11110011",
586
 B"00101000", B"00011100", B"11011101", B"00001010", B"11100101",
587
 B"00100000", B"11110110", B"11110100", B"00101011", B"00010111",
588
 B"00100000", B"00101111", B"11000110", B"11100010", B"00010111",
589
 B"00011011", B"11100001", B"11101101", B"00101110", B"00010111",
590
 B"11011000", B"00010111", B"00100101", B"11100001", B"11101010",
591
 B"00100111", B"11110110", B"00101011", B"11101100", B"00100000",
592
 B"11111101", B"11010001", B"00110010", B"00010101", B"11001000",
593
 B"00100000", B"11100001", B"00100111", B"11101010", B"11100100",
594
 B"00110100", B"00100010", B"00011101", B"11100011", B"11101000",
595
 B"00101101", B"11100011", B"11011010", B"11010000", B"11100011",
596
 B"00001110", B"11110011", B"00101000", B"11100111", B"11011001",
597
 B"11101111", B"00011110", B"00101011", B"00011111", B"00010001",
598
 B"00011010", B"00011111", B"00011011", B"01000001", B"00011111",
599
 B"00011011", B"00001100", B"00010101", B"11000010", B"11100011",
600
 B"00010111", B"00100101", B"11110111", B"11100000", B"00001100",
601
 B"00010011", B"11111001", B"11100101", B"00011100", B"00010100",
602
 B"11101010", B"10111100", B"00100010", B"11000011", B"11101010",
603
 B"00011011", B"11011010", B"11100000", B"11011000", B"11100111",
604
 B"00000001", B"00101001", B"11101011", B"11011000", B"00010010",
605
 B"00111001", B"11010000", B"10111111", B"11001101", B"11101011",
606
 B"00100101", B"00011000", B"00011010", B"00100001", B"11001100",
607
 B"11010001", B"11110010", B"11010111", B"11110000", B"11101101",
608
 B"11111001", B"00100110", B"00011110", B"00110000", B"01000011",
609
 B"00110101", B"00011011", B"11100000", B"01010010", B"11010101",
610
 B"00101010", B"10111011", B"11101011", B"11110000", B"00010001",
611
 B"11010110", B"00011111", B"11001100", B"11010010", B"00010000",
612
 B"11011010", B"00010010", B"11010011", B"11100110", B"11010111",
613
 B"00101001", B"00010111", B"11010110", B"11100000", B"11101100",
614
 B"11001011", B"11100100", B"00010011", B"00001001", B"00000101",
615
 B"00000111", B"11001101", B"11001001", B"00011101", B"00001010",
616
 B"00011011", B"00101101", B"11011111", B"11101111", B"00000011",
617
 B"00011101", B"00010000", B"00101000", B"11011100", B"00100111",
618
 B"11001111", B"00011110", B"11110101", B"00110011", B"11011100",
619
 B"00000100", B"00011011", B"11011011", B"11101011", B"00011101",
620
 B"11001110", B"11001000", B"00001110", B"00011110", B"00011111",
621
 B"00001011", B"11100110", B"00000011", B"00011100", B"00011100",
622
 B"11010101", B"00100010", B"00011111", B"11100000", B"11110000",
623
 B"00100000", B"11101001", B"11100011", B"00100010", B"00010000",
624
 B"00100100", B"00010111", B"11100001", B"11111010", B"00101001",
625
 B"00011100", B"00100001", B"11011111", B"00100111", B"11010000",
626
 B"00110000", B"11110111", B"11100011", B"11001100", B"00001000",
627
 B"11101000", B"00100101", B"11010000", B"00000111", B"00001001",
628
 B"00100101", B"11101111", B"11101010", B"11101011", B"11100010",
629
 B"00010110", B"11010010", B"00100001", B"00011010", B"00100110",
630
 B"00011011", B"11010010", B"00001010", B"11010000", B"00010000",
631
 B"11011000", B"11100101", B"11011100", B"11101110", B"00101101",
632
 B"00110010", B"11111101", B"00100011", B"11101001", B"11101011",
633
 B"00100111", B"11101010", B"00001110", B"11011100", B"11100000",
634
 B"00110011", B"00101111", B"00001011", B"00011000", B"11001111",
635
 B"00011001", B"00100101", B"11001111", B"11101001", B"00100110",
636
 B"11110101", B"11011101", B"11101110", B"11000111", B"00000010",
637
 B"00101100", B"00100101", B"00100111", B"00111111", B"11010111",
638
 B"00011001", B"11011110", B"11100000", B"11010111", B"00101100",
639
 B"00100111", B"11110111", B"00011110", B"11111010", B"11101111",
640
 B"11110001", B"00100000", B"00011101", B"11011010", B"11111001",
641
 B"11100110", B"11010101", B"00011100", B"00100001", B"11101010",
642
 B"00011011", B"11011111", B"00100110", B"00010110", B"00101001",
643
 B"11110100", B"01000010", B"11101000", B"00101000", B"11111110",
644
 B"00010111", B"00011001", B"00001011", B"11101100", B"00011100",
645
 B"11111000", B"00010000", B"11010110", B"11100011", B"00010011",
646
 B"00110111", B"00011100", B"11111101", B"00110100", B"11011111",
647
 B"00111111", B"11101001", B"00100001", B"00010000", B"00100001",
648
 B"11100110", B"00100110", B"00001011", B"00011001", B"11010010",
649
 B"00011101", B"00110001", B"00010100", B"11111010", B"00110001",
650
 B"11110111", B"00111010", B"11101000", B"00010001", B"11100101",
651
 B"11100110", B"11011111", B"00100011", B"00000001", B"11000101",
652
 B"00011011", B"11101000", B"01000000", B"11101111", B"11100100",
653
 B"11010000", B"00000110", B"00100110", B"11010100", B"00101011",
654
 B"00011000", B"00011101", B"11011111", B"00001100", B"11001011",
655
 B"00001011", B"11110010", B"00110010", B"11010010", B"11100110",
656
 B"11011100", B"00010110", B"00101010", B"11011110", B"00110001",
657
 B"00011110", B"11110100", B"11011010", B"00011100", B"00100001",
658
 B"11001001", B"11110010", B"00000111", B"00101010", B"00111000",
659
 B"11110000", B"00101010", B"11000101", B"00101001", B"11110101",
660
 B"11011100", B"11011010", B"11000010", B"00100100", B"00111100",
661
 B"11010110", B"00111111", B"11010101", B"11100111", B"11111000",
662
 B"11110000", B"11100011", B"11111001", B"00110011", B"00010110",
663
 B"00101001", B"00001001", B"11001010", B"11100111", B"11010111",
664
 B"11110010", B"00101110", B"11010011", B"00001011", B"00010000",
665
 B"00100000", B"11010000", B"00001101", B"00001001", B"11100110",
666
 B"11010000", B"00100100", B"00001110", B"11100101", B"00110101",
667
 B"11001011", B"11010110", B"11101101", B"00000100", B"00100011",
668
 B"00111001", B"11010101", B"10111001", B"00010010", B"11011010",
669
 B"11101001", B"11011111", B"11101111", B"00110100", B"00011000",
670
 B"00011011", B"00110100", B"00101001", B"00010001", B"00011011",
671
 B"00000111", B"11100011", B"11110000", B"11110011", B"00011101",
672
 B"00011011", B"11100111", B"00011101", B"11100011", B"00101111",
673
 B"00011100", B"00100110", B"00111100", B"00101010", B"00010000",
674
 B"00100111", B"00100011", B"00010101", B"11100010", B"11100111",
675
 B"11101011", B"11010111", B"11100111", B"11011000", B"11100000",
676
 B"11011000", B"00011110", B"11100011", B"11101010", B"11111101",
677
 B"00101011", B"00101011", B"11110000", B"00001100", B"11011110",
678
 B"00001100", B"11110110", B"11011001", B"11010110", B"00000100",
679
 B"00010100", B"00011010", B"00011111", B"00110111", B"11010110",
680
 B"00100010", B"11111010", B"01000110", B"11111100", B"00101010",
681
 B"00011001", B"00100100", B"11001111", B"11111001", B"11001001",
682
 B"01000011", B"11100001", B"11100100", B"11011111", B"11101101",
683
 B"11001000", B"00010010", B"00101010", B"00010001", B"00111010",
684
 B"11011010", B"11110010", B"00010101", B"00011001", B"11110100",
685
 B"00110111", B"11101111", B"11101011", B"11010011", B"11011111",
686
 B"11110111", B"00010100", B"00101011", B"11110001", B"00100011",
687
 B"11011011", B"00010100", B"00101011", B"00100011", B"00110010",
688
 B"00111101", B"00101110", B"01000011", B"00001111", B"00101110",
689
 B"11011011", B"00101001", B"11000101", B"11110101", B"11001101",
690
 B"00100111", B"00011001", B"11010000", B"00100111", B"11010010",
691
 B"11011011", B"11010101", B"00111011", B"00101001", B"11100100",
692
 B"11111110", B"00100010", B"11101100", B"11101001", B"11110111",
693
 B"00001110", B"01001001", B"11110100", B"00000010", B"00110100",
694
 B"00100000", B"00010110", B"00010101", B"00101101", B"00100001",
695
 B"00100101", B"00101111", B"11101110", B"11001111", B"00010101",
696
 B"11100101", B"11011111", B"11110101", B"11100001", B"00010001",
697
 B"11011011", B"11011111", B"11001101", B"11010111", B"01001110",
698
 B"11100110", B"00101110", B"00011001", B"11100110", B"11110001",
699
 B"11011101", B"11000110", B"00010111", B"11010110", B"00010111",
700
 B"11100101", B"10110001", B"11100101", B"00011010", B"00100010",
701
 B"11000000", B"00011100", B"11101011", B"00100011", B"00101001",
702
 B"11110110", B"11101011", B"00011101", B"00010001", B"11101000",
703
 B"11100100", B"00000111", B"11110101", B"00010101", B"00100110",
704
 B"01000000", B"00011001", B"00010110", B"00010111", B"11001001",
705
 B"00000100", B"00011000", B"00100101", B"00111001", B"00000000",
706
 B"11001110", B"11010111", B"00011110", B"11011100", B"11001100",
707
 B"00110011", B"11110011", B"11100110", B"11100000", B"11010101",
708
 B"00100100", B"00011100", B"00011010", B"00101111", B"00010100",
709
 B"00001111", B"00100111", B"00011000", B"11011011", B"00011010",
710
 B"00011000", B"00101010", B"10110010", B"00100110", B"11011011",
711
 B"00111011", B"00100000", B"00010010", B"11100100", B"00100111",
712
 B"00110111", B"11101100", B"11010101", B"00100101", B"00011010",
713
 B"11101011", B"00100111", B"00010000", B"11011010", B"00110010",
714
 B"00001111", B"11101110", B"11010101", B"11101001", B"00011000",
715
 B"11110010", B"00101011", B"11011101", B"11010011", B"11111101",
716
 B"00001011", B"00010101", B"00000100", B"00111001", B"00101100",
717
 B"00001111", B"00110010", B"00101110", B"00101100", B"00010110",
718
 B"00101100", B"00011110", B"00011110", B"00011001", B"00011100",
719
 B"00101101", B"00011101", B"00001111", B"00000011", B"11010111",
720
 B"00000100", B"11001100", B"00010011", B"11101110", B"00100111",
721
 B"11010110", B"00100101", B"00000101", B"11100010", B"00101000",
722
 B"00011110", B"11101101", B"11100111", B"11101100", B"00010111",
723
 B"11011110", B"00110011", B"11011000", B"00000110", B"00110100",
724
 B"00011111", B"00100011", B"11111100", B"11010000", B"00011001",
725
 B"00100101", B"11101001", B"11010110", B"11001111", B"00110010",
726
 B"00100001", B"11011000", B"11101001", B"11101010", B"11011111",
727
 B"11000110", B"00011111", B"11100000", B"11100100", B"00001010",
728
 B"11100101", B"11100111", B"11110011", B"11010001", B"11011101",
729
 B"00110101", B"00100111", B"11111011", B"11000101", B"11011101",
730
 B"11100011", B"11101010", B"01000010", B"00101010", B"11110000",
731
 B"00001101", B"00010111", B"00100110", B"00101100", B"00101010",
732
 B"00100101", B"00100100", B"00010111", B"00011101", B"00101100",
733
 B"00110001", B"00011001", B"00110010", B"00011001", B"00100110",
734
 B"00010111", B"11101111", B"00101010", B"11110110", B"00110111",
735
 B"11100000", B"11011111", B"00000011", B"11100110", B"11011000",
736
 B"11110000", B"00110110", B"00011110", B"11100100", B"11011111",
737
 B"00011010", B"00001110", B"00010011", B"10111110", B"00010010",
738
 B"11010110", B"00010111", B"11010011", B"00010100", B"00010000",
739
 B"11110011", B"11011011", B"11011101", B"11010000", B"11101101",
740
 B"00011000", B"11111000", B"00010011", B"00010100", B"00100100",
741
 B"00011010", B"00010110", B"00100101", B"11100000", B"00100000",
742
 B"00010000", B"00101101", B"11111011", B"00100100", B"11000010",
743
 B"00101111", B"11101100", B"11101110", B"00011100", B"11101111",
744
 B"00011011", B"11010111", B"11110010", B"00011111", B"00011010",
745
 B"11111110", B"11100100", B"11011100", B"11011101", B"00011101",
746
 B"11011101", B"00010101", B"00100100", B"11101010", B"11110110",
747
 B"11111100", B"11100001", B"00000100", B"11111010", B"11101011",
748
 B"00110111", B"11011110", B"00100001", B"00001111", B"11000110",
749
 B"00100101", B"01001011", B"11111001", B"11101001", B"00011010",
750
 B"11011110", B"11101111", B"11101010", B"00110011", B"00100011",
751
 B"11001110", B"00101001", B"00110000", B"11111010", B"00100101",
752
 B"00011100", B"11110001", B"11101000", B"00011011", B"00100110",
753
 B"00101011", B"00010011", B"00111001", B"00000011", B"01000010",
754
 B"00010001", B"00011111", B"01000100", B"11001001", B"00100111",
755
 B"00101011", B"11010100", B"00010110", B"00001011", B"10111011",
756
 B"11110111", B"00011000", B"11010100", B"00101010", B"11100100",
757
 B"11110101", B"00110110", B"00011010", B"11000000", B"11001000",
758
 B"00010001", B"11100100", B"00001110", B"11001000", B"11100100",
759
 B"00110010", B"11100010", B"00011000", B"11110110", B"11110100",
760
 B"11110010", B"00011000", B"00100011", B"11101101", B"11110011",
761
 B"10111000", B"00011001", B"00010111", B"00011010", B"00100101",
762
 B"11000100", B"11010110", B"00001111", B"11010100", B"11010101",
763
 B"11110001", B"11010100", B"00011101", B"11000111", B"00100110",
764
 B"11011011", B"11001010", B"11110010", B"11011101", B"00101110",
765
 B"00011110", B"00001111", B"00001101", B"00100011", B"11000100",
766
 B"11100010", B"00010001", B"11110100", B"11011100", B"11100101",
767
 B"11011000", B"01000001", B"00010111", B"11010011", B"11001101",
768
 B"00100000", B"00011110", B"11110011", B"11101000", B"00101010",
769
 B"00000101", B"00011111", B"11010011", B"00101100", B"11010000",
770
 B"00001110", B"11011101", B"00010100", B"11010111", B"00010101",
771
 B"00100011", B"11001111", B"00011101", B"00010101", B"11001101",
772
 B"00000111", B"00011011", B"00100100", B"11011101", B"00110010",
773
 B"11110000", B"00011000", B"11001001", B"11100001", B"00100000",
774
 B"11110100", B"00110010", B"11000001", B"11101111", B"00010010",
775
 B"00000110", B"11010010", B"00101010", B"11011011", B"11101100",
776
 B"11011000", B"00110001", B"00100100", B"11100100", B"00011011",
777
 B"00001001", B"11001011", B"00001001", B"11000111", B"11110111",
778
 B"11100111", B"11100000", B"11011101", B"11011110", B"11101101",
779
 B"11000111", B"00101010", B"00000110", B"00101000", B"00101101",
780
 B"00001001", B"00111010", B"11101001", B"00010101", B"11101010",
781
 B"11100011", B"00100011", B"00011101", B"11011001", B"11001010",
782
 B"11010101", B"00110100", B"00100100", B"11100010", B"00101010",
783
 B"11110011", B"00001111", B"00110011", B"11001111", B"00101100",
784
 B"00011101", B"11101000", B"11010101", B"00101010", B"11101111",
785
 B"00010011", B"00101000", B"00101100", B"11100101", B"00101111",
786
 B"11001000", B"00010000", B"00011101", B"11011010", B"00011001",
787
 B"00101110", B"11000111", B"00100100", B"00010111", B"11110001",
788
 B"00011111", B"01000001", B"11011000", B"00110001", B"00110100",
789
 B"11101010", B"11010111", B"00000011", B"10111100", B"00111111",
790
 B"11101010", B"00110011", B"11100010", B"11001001", B"00101001",
791
 B"00110001", B"11001000", B"00100001", B"11110110", B"00010110",
792
 B"11001111", B"11001100", B"00011011", B"00010000", B"11011010",
793
 B"00010111", B"11110110", B"00010011", B"11010101", B"11010100",
794
 B"00110001", B"00011000", B"00011110", B"00010100", B"00100110",
795
 B"11011110", B"00000011", B"11100101", B"00011000", B"11010111",
796
 B"00000101", B"00111011", B"11011001", B"00111101", B"11111010",
797
 B"11100110", B"00111110", B"00101010", B"00110011", B"00101001",
798
 B"00011011", B"00101000", B"00011001", B"00011100", B"00001111",
799
 B"00101110", B"11010011", B"00101011", B"11101100", B"00111101",
800
 B"11111100", B"00001000", B"00101111", B"00001111", B"00010110",
801
 B"10111100", B"00010010", B"11011110", B"11100001", B"11000011",
802
 B"00110001", B"00101010", B"11010110", B"01000110", B"11100100",
803
 B"11010000", B"11010101", B"00100110", B"00001101", B"11011011",
804
 B"00101101", B"01001100", B"00111011", B"00101000", B"00010000",
805
 B"00110100", B"00100000", B"00011100", B"00100101", B"11100111",
806
 B"00101010", B"00100100", B"11010001", B"00001010", B"00110000",
807
 B"11100111", B"11010011", B"00001101", B"00001101", B"00010000",
808
 B"11110111", B"00101010", B"11100000", B"00100100", B"11101100",
809
 B"11100010", B"11011011", B"11101000", B"00101100", B"00101110",
810
 B"00010110", B"00011111", B"00110011", B"11011111", B"00100000",
811
 B"00101111", B"11110001", B"00100010", B"00011001", B"11010110",
812
 B"11110101", B"00011110", B"11110001", B"00011011", B"11100110",
813
 B"11001001", B"00110001", B"11101110", B"11011101", B"11010100",
814
 B"10111101", B"11000011", B"00100000", B"00111101", B"00010010",
815
 B"00101010", B"11011111", B"00010110", B"00010001", B"00101010",
816
 B"11110010", B"00011000", B"11100100", B"00101011", B"11011000",
817
 B"11001100", B"11010010", B"00110000", B"00110000", B"11100001",
818
 B"00110100", B"11100101", B"00011001", B"00001010", B"11000101",
819
 B"11110110", B"11100011", B"00101110", B"00000111", B"00010000",
820
 B"11011100", B"10111001", B"11010001", B"00000110", B"00011100",
821
 B"11011101", B"00110001", B"11011011", B"11000000", B"00110010",
822
 B"11001110", B"11111101", B"11001000", B"00101000", B"00110010",
823
 B"11100011", B"00101000", B"00111011", B"00000010", B"00010010",
824
 B"01000010", B"00010101", B"00011010", B"00010000", B"11010100",
825
 B"11011011", B"00110100", B"00011110", B"00100110", B"00011000",
826
 B"11110010", B"11001110", B"00001111", B"00101110", B"11010100",
827
 B"11001000", B"00110100", B"00010111", B"11011010", B"11011010",
828
 B"00100000", B"00011001", B"00100111", B"00100100", B"00110001",
829
 B"00100000", B"00001001", B"00010100", B"11001111", B"00011100",
830
 B"11111001", B"11011101", B"11010110", B"00100010", B"01000100",
831
 B"11101101", B"11010100", B"11011101", B"00010111", B"00100111",
832
 B"00110100", B"00000010", B"11010101", B"11010111", B"00100000",
833
 B"00010110", B"11011110", B"00101001", B"00101111", B"11011110",
834
 B"11010110", B"00010111", B"11111100", B"00100000", B"00101111",
835
 B"00110001", B"11101010", B"00011001", B"11101100", B"00011001",
836
 B"11010111", B"00011100", B"11011101", B"11100011", B"11011100",
837
 B"00010011", B"00011110", B"11101011", B"00101010", B"11100111",
838
 B"11101101", B"00011101", B"11000101", B"11101100", B"11001100",
839
 B"11001101", B"11000101", B"00011010", B"00011000", B"00101111",
840
 B"11100111", B"00100011", B"11001000", B"00110101", B"11110100",
841
 B"11010110", B"11000001", B"11100011", B"00101011", B"00010100",
842
 B"00010110", B"00100101", B"11100111", B"00001010", B"11111011",
843
 B"00110001", B"11010010", B"00100100", B"11110011", B"00100010",
844
 B"00100101", B"11100011", B"11100000", B"00011101", B"11011100",
845
 B"11100101", B"11110010", B"11100000", B"11100100", B"11011000",
846
 B"00011000", B"00010101", B"01000011", B"00100001", B"11011101",
847
 B"11110110", B"11101110", B"00010100", B"00001010", B"11001110",
848
 B"11100100", B"11011000", B"11111010", B"11010110", B"11011100",
849
 B"10111011", B"00111011", B"11010100", B"00110010", B"11001100",
850
 B"11011100", B"00111000", B"11011110", B"00011101", B"00100011",
851
 B"11011111", B"11100010", B"11101000", B"11010111", B"11011101",
852
 B"00001110", B"00100100", B"11011111", B"00110000", B"00011111",
853
 B"11011000", B"11001010", B"00111010", B"00011111", B"11101000",
854
 B"00011111", B"11111111", B"11011010", B"00110011", B"01000100",
855
 B"11100111", B"00001001", B"11101100", B"00011000", B"11011001",
856
 B"11011111", B"11100111", B"00010011", B"00101001", B"01000100",
857
 B"11101001", B"00100110", B"11010001", B"11011101", B"11110000",
858
 B"00010110", B"00010111", B"11010100", B"00110101", B"11101101",
859
 B"00001101", B"11101000", B"11100101", B"00111001", B"00000110",
860
 B"00100010", B"00010110", B"00101101", B"00110001", B"00010010",
861
 B"00010110", B"00000101", B"00100000", B"11101111", B"00001000",
862
 B"00111001", B"00010101", B"00001100", B"00010011", B"11111000",
863
 B"00011111", B"11110000", B"00010011", B"11111000", B"00110000",
864
 B"11101011", B"11101000", B"11111101", B"00100011", B"11101101",
865
 B"11100011", B"00010110", B"00110111", B"00101011", B"00001101",
866
 B"11010101", B"11100110", B"00110001", B"11101000", B"11110011",
867
 B"00101011", B"11101101", B"11100010", B"11011101", B"11011111",
868
 B"00101000", B"11101110", B"11010101", B"00101101", B"11101111",
869
 B"11110000", B"11010000", B"11010111", B"11010101", B"11101101",
870
 B"00011010", B"00011011", B"00011001", B"00011101", B"11011111",
871
 B"11001011", B"00100111", B"00111100", B"11100001", B"11011000",
872
 B"11111100", B"00110011", B"11010110", B"11100000", B"00101011",
873
 B"00001000", B"11010011", B"00001010", B"00011000", B"11101000",
874
 B"11000010", B"00100001", B"00011001", B"00101011", B"00100001",
875
 B"11111110", B"00100110", B"00010100", B"00111000", B"00011110",
876
 B"00011010", B"11001010", B"01010011", B"00101001", B"11011010",
877
 B"00100001", B"00011010", B"11101010", B"00001110", B"00010101",
878
 B"11001111", B"00000000", B"00110001", B"11101011", B"11110100",
879
 B"00110111", B"11100100", B"00001011", B"11100110", B"00100100",
880
 B"11011011", B"11001100", B"00101010", B"00101100", B"11110101",
881
 B"00100010", B"11100001", B"00110010", B"11101100", B"10111111",
882
 B"00001100", B"00101001", B"00001011", B"11010111", B"00111010",
883
 B"11010110", B"11100101", B"11101001", B"00011000", B"00101100",
884
 B"00100111", B"11010111", B"11100100", B"00101101", B"11100010",
885
 B"11101101", B"11101011", B"11010111", B"11101101", B"00001100",
886
 B"00100010", B"00110100", B"11100100", B"00101000", B"11101111",
887
 B"00010000", B"00111101", B"00011000", B"00100101", B"11100110",
888
 B"00100011", B"11011010", B"00010100", B"00000000", B"00100101",
889
 B"11000101", B"00010011", B"00010111", B"11110001", B"01000010",
890
 B"11110110", B"11011101", B"11010110", B"11010000", B"11101010",
891
 B"11011011", B"00011101", B"00011011", B"00100000", B"00010100",
892
 B"00101010", B"00011011", B"10111100", B"11001011", B"00011001",
893
 B"00110100", B"11110111", B"11110000", B"00001001", B"11101100",
894
 B"11101110", B"11011111", B"11100110", B"00011010", B"11011011",
895
 B"00010000", B"11011011", B"00100100", B"00100010", B"11101010",
896
 B"11010110", B"11000011", B"11110001", B"11110010", B"00100100",
897
 B"11110001", B"11001100", B"11011101", B"11010011", B"00111110",
898
 B"11101011", B"00001101", B"00010011", B"11001101", B"11101000",
899
 B"00100000", B"10110101", B"11011110", B"11001101", B"11010000",
900
 B"11100000", B"11011111", B"00100000", B"00011110", B"00101011",
901
 B"00010101", B"11011011", B"11100110", B"11101001", B"00010011",
902
 B"11011010", B"00100001", B"11110111", B"11111111", B"00101001",
903
 B"00011001", B"11100101", B"00001111", B"00011000", B"11010010",
904
 B"11010110", B"11110011", B"11100110", B"10111000", B"00010110",
905
 B"00110000", B"11100011", B"11100000", B"00100001", B"00010011",
906
 B"11010111", B"11001110", B"00001111", B"00011000", B"00001111",
907
 B"00100100", B"00100101", B"00111010", B"00110011", B"00001010",
908
 B"00011011", B"00110111", B"11100101", B"00011001", B"00001110",
909
 B"11101001", B"11101011", B"00111101", B"00011000", B"11101101",
910
 B"11011110", B"00011101", B"11011101", B"11100111", B"11101011",
911
 B"11110011", B"00001000", B"00001100", B"00100101", B"00110001",
912
 B"00101001", B"00100010", B"00001000", B"00010100", B"11010101",
913
 B"11100111", B"00000111", B"00101101", B"00100001", B"00010111",
914
 B"11100111", B"11010111", B"11011011", B"11101001", B"00101111",
915
 B"11001110", B"00100101", B"11011001", B"11011000", B"00100011",
916
 B"00101100", B"10111111", B"00101010", B"00110011", B"11100000",
917
 B"00101110", B"00011110", B"11100011", B"00101100", B"00010100",
918
 B"11100010", B"11111101", B"00101011", B"11011001", B"11100000",
919
 B"00101101", B"11011101", B"11110000", B"11001101", B"00100000",
920
 B"00100101", B"11100010", B"00101010", B"11000000", B"00001010",
921
 B"00110001", B"11100111", B"11100000", B"00001001", B"00100000",
922
 B"11110000", B"11111011", B"00100100", B"00110011", B"00100010",
923
 B"11110111", B"00101101", B"11111100", B"00110000", B"11110101",
924
 B"10111101", B"00010100", B"11011011", B"11100100", B"11011000",
925
 B"00100110", B"00110111", B"11100011", B"00101111", B"00110101",
926
 B"00110000", B"00010000", B"00000011", B"00011011", B"00100010",
927
 B"00000101", B"11010110", B"00010001", B"11110100", B"00101111",
928
 B"11111010", B"11110001", B"00011111", B"00110011", B"00100001",
929
 B"00011001", B"11011100", B"11100010", B"00010011", B"00010111",
930
 B"11101001", B"11011101", B"00100001", B"00110101", B"11111011",
931
 B"11001100", B"00010100", B"00010010", B"00000010", B"11001000",
932
 B"00101110", B"11101110", B"11001110", B"11100000", B"11111000",
933
 B"00011100", B"11011010", B"00110111", B"00101101", B"11011101",
934
 B"00110101", B"00100001", B"11010010", B"00110110", B"00101011",
935
 B"11110000", B"00101111", B"00001111", B"00001101", B"11010111",
936
 B"00100011", B"11111010", B"00001110", B"11100001", B"11010000",
937
 B"11100111", B"00010100", B"00010110", B"00011110", B"00100001",
938
 B"11011101", B"11010111", B"11010110", B"00101011", B"00011111",
939
 B"00001101", B"11000110", B"00000000", B"11001100", B"00011000",
940
 B"11101110", B"11011100", B"00001100", B"11100100", B"11111100",
941
 B"11011001", B"11001101", B"00110110", B"00011001", B"11100010",
942
 B"00010001", B"00100011", B"11100100", B"00100001", B"00011001",
943
 B"11011011", B"11111000", B"11101111", B"00111111", B"11100111",
944
 B"00110001", B"11100101", B"11110001", B"00100010", B"00000111",
945
 B"00010110", B"11100101", B"00100010", B"00011111", B"11011000",
946
 B"11100001", B"00101011", B"11101111", B"00001111", B"11100110",
947
 B"11100010", B"11100011", B"00101010", B"00010111", B"11001110",
948
 B"11101011", B"00001101", B"11110111", B"00010010", B"11001010",
949
 B"11100111", B"00010110", B"11111100", B"11101000", B"00010111",
950
 B"11011111", B"11010101", B"10111100", B"00001010", B"00101110",
951
 B"11101101", B"00010111", B"00101100", B"11011101", B"11001111",
952
 B"00100101", B"00010010", B"00000010", B"11100011", B"11011101",
953
 B"00000001", B"00011100", B"00011000", B"11101110", B"00100000",
954
 B"11100100", B"00101100", B"11110011", B"00110110", B"00011000",
955
 B"00100110", B"11101001", B"00110111", B"11010011", B"00001001",
956
 B"00101111", B"00111110", B"11011001", B"00110011", B"00000011",
957
 B"11110111", B"11100100", B"00100110", B"11100010", B"00011111",
958
 B"11100000", B"00001001", B"11100101", B"11101011", B"00110111",
959
 B"00110010", B"00101110", B"00110001", B"11001100", B"00100110",
960
 B"00100111", B"11100011", B"11011111", B"00001111", B"00010011",
961
 B"11110010", B"11101101", B"00110111", B"11110101", B"11100000",
962
 B"11010000", B"11110110", B"11101011", B"11011011", B"00001010",
963
 B"00111010", B"00011011", B"00110011", B"11101101", B"11100100",
964
 B"11011000", B"11100111", B"11100000", B"00101010", B"00001110",
965
 B"11001101", B"00100100", B"11011111", B"00010011", B"00100010",
966
 B"11001011", B"00011010", B"00011100", B"11000101", B"11100110",
967
 B"00101000", B"00011001", B"11001011", B"11011011", B"00011110",
968
 B"11101011", B"11110010", B"11010010", B"11101011", B"00010000",
969
 B"00100011", B"00011100", B"11001000", B"00001010", B"11100101",
970
 B"01000000", B"11010110", B"00000010", B"11010110", B"11101111",
971
 B"11010100", B"11100000", B"00101011", B"11101100", B"00100110",
972
 B"11001100", B"11001011", B"00000111", B"11101011", B"00100111",
973
 B"11101110", B"11100000", B"00010100", B"11010110", B"11110000",
974
 B"00100001", B"11010001", B"00111111", B"11101110", B"11101000",
975
 B"00001010", B"11100101", B"11001110", B"11010101", B"11100111",
976
 B"00100011", B"00101100", B"00100000", B"00001011", B"00101110",
977
 B"00011111", B"11110110", B"00011011", B"00010001", B"11100001",
978
 B"11011010", B"00010111", B"00011111", B"00010000", B"00011111",
979
 B"11011011", B"00001100", B"11101100", B"00100111", B"11000011",
980
 B"00100010", B"00110100", B"11101010", B"11001110", B"00001011",
981
 B"00110011", B"11110000", B"11000010", B"00110101", B"11010100",
982
 B"11010010", B"00100100", B"11011000", B"11001001", B"11100101",
983
 B"11111000", B"00011101", B"11111001", B"00010100", B"00101101",
984
 B"11011101", B"00110011", B"00011111", B"11011110", B"00010010",
985
 B"11011010", B"00010011", B"11001110", B"11011011", B"11000111",
986
 B"00010001", B"00011001", B"10111110", B"00101100", B"00010110",
987
 B"11101101", B"11111100", B"11001110", B"11100000", B"11101101",
988
 B"00001011", B"00100000", B"11100011", B"11100011", B"00101110",
989
 B"00011000", B"11010100", B"11101010", B"00011011", B"00110100",
990
 B"00101100", B"00100010", B"11111111", B"00010000", B"00011010",
991
 B"11111001", B"00001111", B"11101011", B"01000101", B"11101001",
992
 B"11100000", B"11100011", B"00100001", B"00100000", B"11010001",
993
 B"11100010", B"11010011", B"11100100", B"00101000", B"00101111",
994
 B"00011101", B"00011101", B"00011001", B"00100011", B"11000111",
995
 B"00101110", B"00001110", B"11101111", B"11100001", B"01000011",
996
 B"11100100", B"11100001", B"11011110", B"00010000", B"00001010",
997
 B"11010111", B"00010100", B"11101000", B"11100011", B"00111001",
998
 B"11011101", B"00101001", B"11100100", B"11100101", B"00101110",
999
 B"00100000", B"11100011", B"11010011", B"11100000", B"11101101",
1000
 B"00001111", B"00101011", B"00101000", B"00110011", B"00001100",
1001
 B"00001111", B"11101111", B"11010000", B"00011101", B"00010001",
1002
 B"11010010", B"11100101", B"11101110", B"11010101", B"00100110",
1003
 B"11100010", B"00111010", B"11011011", B"11100110", B"00011000",
1004
 B"00000001", B"00110110", B"11111001", B"11011100", B"00001100",
1005
 B"00101100", B"11000000", B"10110111", B"00011001", B"00001101",
1006
 B"00101111", B"00100010", B"00100111", B"00011001", B"00010110",
1007
 B"00110011", B"00100010", B"11110000", B"00100010", B"11111101",
1008
 B"11010111", B"11000001", B"00100000", B"00010100", B"00011101",
1009
 B"00100010", B"11110111", B"11100011", B"00100100", B"00011100",
1010
 B"11010001", B"11100001", B"00010111", B"11110011", B"00101110",
1011
 B"00101000", B"11010100", B"00011000", B"00100000", B"11100111",
1012
 B"00011000", B"11100111", B"00011001", B"11001111", B"11100101",
1013
 B"00000101", B"00110111", B"00100010", B"00000101", B"11011111",
1014
 B"11111010", B"11001101", B"11111110", B"00011111", B"11100011",
1015
 B"00011100", B"11000011", B"11100001", B"00111110", B"00100000",
1016
 B"00001110", B"11111000", B"11110001", B"11000110", B"00101010",
1017
 B"00001000", B"00101001", B"11101010", B"00001000", B"00000101",
1018
 B"00011001", B"00100010", B"00011001", B"00001010", B"11101100",
1019
 B"00100001", B"00111001", B"11011010", B"11010111", B"00011101",
1020
 B"11101100", B"11010001", B"00110000", B"11101101", B"00101111",
1021
 B"11001010", B"11000011", B"00011011", B"00010100", B"00011000",
1022
 B"11101001", B"00010100", B"00000100", B"11010100", B"11010000",
1023
 B"00011110", B"11100011", B"11000111", B"00011001", B"00101001",
1024
 B"00101000", B"00011001", B"11101000", B"11110111", B"11010110",
1025
 B"11010011", B"11100101", B"00101101", B"00010001", B"11011001",
1026
 B"00001010", B"11100011", B"11010100", B"11101101", B"11110001",
1027
 B"00010111", B"00111110", B"11011110", B"00011110", B"11111010",
1028
 B"00110110", B"00101110", B"11100001", B"11100001", B"00010010",
1029
 B"00011010", B"11101010", B"11011011", B"00100010", B"11001011",
1030
 B"00110010", B"11011101", B"11010111", B"11001011", B"00101110",
1031
 B"00001111", B"11100110", B"00100001", B"00000110", B"11011100",
1032
 B"11100111", B"11011010", B"11001111", B"11010000", B"00011101",
1033
 B"11100010", B"11100001", B"11101001", B"11011110", B"00011010",
1034
 B"11111100", B"00110101", B"00110111", B"00001110", B"00000101",
1035
 B"11110010", B"00111000", B"11101001", B"00110011", B"11011100",
1036
 B"00101001", B"00101110", B"11100000", B"11101011", B"00010110",
1037
 B"00001101", B"11100011", B"11010010", B"11010000", B"00001011",
1038
 B"00010111", B"00010001", B"11011010", B"00100101", B"11100000",
1039
 B"11111111", B"11111100", B"00011100", B"11011111", B"00011011",
1040
 B"00001001", B"11100000", B"11101101", B"00011101", B"00101100",
1041
 B"00111011", B"00011001", B"11001100", B"00010110", B"11011010",
1042
 B"00001101", B"11101111", B"11011111", B"00001110", B"00011000",
1043
 B"00110000", B"11111000", B"00100010", B"11101110", B"11110101",
1044
 B"11100010", B"00101011", B"11001011", B"00011101", B"11100000",
1045
 B"11100010", B"00100101", B"00100101", B"00100011", B"11011011",
1046
 B"11100111", B"00010101", B"11001100", B"11000010", B"11100010",
1047
 B"11101110", B"00011000", B"11100100", B"00100110", B"11111100",
1048
 B"11100101", B"11111010", B"00101110", B"00010001", B"00101101",
1049
 B"00011011", B"11011000", B"00001011", B"00011111", B"11111100",
1050
 B"11011010", B"00101110", B"00101100", B"11010101", B"00010110",
1051
 B"11101110", B"11011110", B"11111001", B"00100011", B"00100100",
1052
 B"00110101", B"00101011", B"11010110", B"00100111", B"00101101",
1053
 B"11010010", B"11001110", B"00101101", B"11101100", B"00110011",
1054
 B"11010101", B"10110001", B"11011101", B"00010100", B"01000011",
1055
 B"11101001", B"11111111", B"11010101", B"11011100", B"11101010",
1056
 B"00100000", B"00000001", B"00010110", B"00110100", B"11011000",
1057
 B"11011111", B"11100000", B"00011100", B"00001110", B"11001000",
1058
 B"00100000", B"11111110", B"00011100", B"11011110", B"00100110",
1059
 B"00001111", B"11110001", B"00010010", B"00011110", B"11101101",
1060
 B"00011000", B"11100011", B"11100011", B"00100010", B"11001100",
1061
 B"11100101", B"11100111", B"11010011", B"11101001", B"00001001",
1062
 B"00010111", B"11001000", B"11011011", B"11001000", B"11010011",
1063
 B"11010101", B"00101101", B"11110100", B"11001110", B"00100111",
1064
 B"11111011", B"11001111", B"11100010", B"11101001", B"00111101",
1065
 B"11111000", B"11100110", B"00000010", B"11011011", B"00110011",
1066
 B"11010010", B"00001011", B"00101100", B"11011100", B"11110011",
1067
 B"11010101", B"11011011", B"00100111", B"11100100", B"00101000",
1068
 B"11010010", B"00011101", B"00101111", B"00100010", B"11001111",
1069
 B"00010010", B"11001111", B"01000111", B"00100011", B"00100000",
1070
 B"00001101", B"11010101", B"00010111", B"11100100", B"00000111",
1071
 B"11011000", B"00000111", B"11010100", B"11101110", B"11100100",
1072
 B"11100110", B"00101100", B"11010000", B"00100100", B"11101000",
1073
 B"11101001", B"00110001", B"11011010", B"00110010", B"11101001",
1074
 B"11010110", B"00100100", B"11111000", B"11001010", B"11101000",
1075
 B"00011000", B"11110101", B"11011111", B"00111110", B"11011011",
1076
 B"11110111", B"00100011", B"11100111", B"11111001", B"11001100",
1077
 B"00011000", B"00101001", B"11110000", B"11010101", B"11100010",
1078
 B"11011010", B"11100011", B"00100101", B"00101100", B"00001000",
1079
 B"00101111", B"00000011", B"00011011", B"11001000", B"00011100",
1080
 B"00010100", B"11101110", B"11111100", B"00101010", B"00010010",
1081
 B"11100010", B"00101011", B"00000110", B"11110111", B"00101100",
1082
 B"00101010", B"00011101", B"11100001", B"11010001", B"00000111",
1083
 B"00010110", B"00100110", B"11101001", B"00000110", B"11010101",
1084
 B"00100111", B"11101001", B"11011111", B"11110011", B"10111010",
1085
 B"00010000", B"11100011", B"00011000", B"00011001", B"00100111",
1086
 B"00000100", B"00010110", B"00100011", B"00011110", B"00010110",
1087
 B"01001101", B"11011110", B"00100110", B"11100001", B"11100110",
1088
 B"11101011", B"00100111", B"00101011", B"11111110", B"00100011",
1089
 B"00101010", B"00101100", B"11100001", B"00100010", B"11010111",
1090
 B"00101110", B"11010101", B"00000011", B"00100001", B"00000010",
1091
 B"00101101", B"00011000", B"11100110", B"11100000", B"00010101",
1092
 B"00101110", B"00101000", B"00011110", B"00011101", B"00001011",
1093
 B"00100011", B"00111111", B"00001011", B"11011101", B"00101000",
1094
 B"11011001", B"11011001", B"11010110", B"00100110", B"00011000",
1095
 B"11001110", B"00010110", B"00010110", B"00011111", B"01000000",
1096
 B"00110001", B"00101011", B"00000101", B"00011010", B"00010110",
1097
 B"11110110", B"00011110", B"11101111", B"11100010", B"11110000",
1098
 B"00101001", B"00100111", B"00110101", B"00100110", B"00010010",
1099
 B"11011100", B"00100011", B"11100000", B"00101011", B"11001110",
1100
 B"11101011", B"11101001", B"11010101", B"11011111", B"00111111",
1101
 B"00011111", B"00001111", B"00100101", B"00100011", B"00100010",
1102
 B"00101001", B"00101100", B"00110011", B"00011101", B"00000100",
1103
 B"00101111", B"11100000", B"11011001", B"00010001", B"11001000",
1104
 B"00010001", B"11101011", B"11001100", B"00001111", B"11100000",
1105
 B"11011100", B"00100101", B"11011100", B"00100101", B"11010100",
1106
 B"11000000", B"00110011", B"00101001", B"00101100", B"11100010",
1107
 B"00111110", B"00111000", B"11101101", B"10101101", B"00100110",
1108
 B"11110011", B"00010011", B"11011010", B"00001110", B"11001010",
1109
 B"00110111", B"00010101", B"11101001", B"11100110", B"11011110",
1110
 B"11110000", B"00010100", B"00010011", B"11100110", B"00100101",
1111
 B"11101011", B"11101100", B"11001001", B"11011111", B"00100100",
1112
 B"00011010", B"11011001", B"00010111", B"11100111", B"00101100",
1113
 B"00001001", B"00101000", B"11101000", B"00110100", B"11011110",
1114
 B"00010010", B"11110110", B"00011110", B"00101010", B"11000011",
1115
 B"00000111", B"00101001", B"11001100", B"11011100", B"00101000",
1116
 B"11101000", B"00110000", B"11011101", B"11100011", B"11000000",
1117
 B"00101010", B"00100001", B"11110000", B"00110100", B"00110110",
1118
 B"11011011", B"11110110", B"00100100", B"00011001", B"11001111",
1119
 B"11001011", B"11000011", B"11100000", B"00001001", B"00000000",
1120
 B"00011101", B"11011111", B"11101001", B"00111010", B"00100101",
1121
 B"11001101", B"00110100", B"11011111", B"11001011", B"11111111",
1122
 B"00110000", B"00101000", B"10111111", B"00011100", B"11100001",
1123
 B"11101000", B"10111100", B"00011101", B"01000100", B"11100010",
1124
 B"11001111", B"11111001", B"00100000", B"00010110", B"00100111",
1125
 B"00101010", B"11100000", B"11011011", B"11101110", B"11110101",
1126
 B"00010100", B"00010111", B"00011101", B"00011101", B"11001001",
1127
 B"11011110", B"11101011", B"00111010", B"00001001", B"11110101",
1128
 B"11100111", B"11011111", B"11011110", B"11001100", B"00101001",
1129
 B"11101110", B"00101001", B"00000010", B"11101000", B"11100111",
1130
 B"00110000", B"00010000", B"00100000", B"00010111", B"00110111",
1131
 B"00100111", B"00101111", B"00000111", B"00100011", B"00010000",
1132
 B"00101010", B"11101110", B"11100100", B"11101001", B"11010010",
1133
 B"00011000", B"11010101", B"00001010", B"11011100", B"11100100",
1134
 B"11010011", B"11111010", B"00000111", B"11111011", B"00101011",
1135
 B"00010101", B"11111011", B"00000100", B"00110010", B"00100111",
1136
 B"11010101", B"00010000", B"11010100", B"00110000", B"00101111",
1137
 B"00111110", B"11100000", B"00010001", B"00011011", B"11010010",
1138
 B"11111010", B"00010010", B"00010101", B"11101001", B"00000101",
1139
 B"00011000", B"11101000", B"00011100", B"00010001", B"11000100",
1140
 B"11101010", B"11011011", B"00101101", B"00011101", B"00010111",
1141
 B"00011000", B"11011000", B"11001000", B"11010011", B"00011010",
1142
 B"00011011", B"11100000", B"11100101", B"11101110", B"11111100",
1143
 B"10111111", B"00010101", B"11101100", B"11001010", B"11001111",
1144
 B"11100111", B"00100110", B"11100011", B"00011000", B"11101000",
1145
 B"00101010", B"00010101", B"11010011", B"11101001", B"11110011",
1146
 B"11101001", B"10111111", B"00100010", B"11101001", B"00011100",
1147
 B"11001110", B"11011101", B"11101000", B"00100110", B"00001011",
1148
 B"00110111", B"00001000", B"00011001", B"00101001", B"00100110",
1149
 B"00110101", B"00101100", B"00011000", B"11110100", B"11010100",
1150
 B"11011000", B"00010101", B"00100000", B"11011001", B"00011000",
1151
 B"11001001", B"11010000", B"01000010", B"01000000", B"00011000",
1152
 B"11010110", B"00100001", B"11100000", B"00010001", B"11001010",
1153
 B"00010010", B"11011011", B"11010010", B"11101010", B"00011000",
1154
 B"00010101", B"11111010", B"00100110", B"11010000", B"11110000",
1155
 B"11011011", B"11011100", B"00100010", B"11011000", B"01000100",
1156
 B"00011001", B"11011110", B"00011100", B"11011011", B"11100111",
1157
 B"11100110", B"00010010", B"00001111", B"11011110", B"00100011",
1158
 B"00011000", B"11001110", B"00000101", B"11100011", B"11001011",
1159
 B"00000011", B"00011011", B"10110110", B"00100111", B"11110101",
1160
 B"11100010", B"11000100", B"00010010", B"00101110", B"11111100",
1161
 B"00000111", B"00011000", B"00001011", B"00100110", B"00101000",
1162
 B"01000000", B"00110101", B"11101101", B"11100100", B"00010011",
1163
 B"00110000", B"00011100", B"00101001", B"11011110", B"11010001",
1164
 B"00101000", B"11110011", B"11010110", B"11100001", B"11101001",
1165
 B"00010111", B"11011110", B"00111011", B"11101011", B"00010001",
1166
 B"00101010", B"11100110", B"11111010", B"11011110", B"11101100",
1167
 B"11001100", B"11100000", B"11101001", B"11100001", B"00101110",
1168
 B"01000100", B"11001110", B"00011010", B"11101100", B"11011100",
1169
 B"00011110", B"00011110", B"11101011", B"11110100", B"11100110",
1170
 B"11110010", B"11110011", B"11110001", B"00001111", B"11101100",
1171
 B"11011110", B"11010111", B"00111100", B"01000011", B"11001111",
1172
 B"11011110", B"00110101", B"10111000", B"00001011", B"11011100",
1173
 B"11011101", B"00101001", B"00011100", B"00000010", B"00000100",
1174
 B"11110100", B"11001000", B"00110011", B"00010100", B"11100010",
1175
 B"11010011", B"11001111", B"11011011", B"11111010", B"11011011",
1176
 B"00001100", B"11110100", B"11000011", B"00100000", B"11110111",
1177
 B"11101111", B"00100101", B"11100000", B"00100100", B"11010010",
1178
 B"11101110", B"00111001", B"00111001", B"11001101", B"00110000",
1179
 B"11101110", B"11110111", B"11010110", B"00001100", B"00100011",
1180
 B"00000101", B"11000010", B"00011110", B"00000001", B"11001011",
1181
 B"11111010", B"00011110", B"00011001", B"11010110", B"11011110",
1182
 B"11101010", B"00110111", B"00010111", B"11011010", B"00011011",
1183
 B"11010111", B"11001001", B"00110100", B"11011010", B"11110100",
1184
 B"11100100", B"00010011", B"00110000", B"11011001", B"10111000",
1185
 B"00001011", B"11101010", B"11101011", B"11100110", B"00011110",
1186
 B"00011000", B"11100011", B"11101101", B"11101000", B"00011010",
1187
 B"11011110", B"00101101", B"11011011", B"11101100", B"00000000",
1188
 B"00100000", B"11100010", B"11101010", B"11010100", B"11011000",
1189
 B"11111110", B"11001111", B"00101010", B"00100010", B"11011011",
1190
 B"00010001", B"11010110", B"11001110", B"00000010", B"00011110",
1191
 B"00100110", B"11101001", B"00111010", B"11110000", B"00111100",
1192
 B"11010100", B"11011110", B"00011001", B"00110011", B"11110101",
1193
 B"11101111", B"00100010", B"10111011", B"00001101", B"11011110",
1194
 B"11110001", B"00011101", B"11000000", B"10111001", B"00110010",
1195
 B"11011111", B"00110000", B"11001000", B"11011111", B"00000110",
1196
 B"11011011", B"00100111", B"00010010", B"00011110", B"11010111",
1197
 B"01001010", B"11101110", B"00101001", B"10111000", B"11010010",
1198
 B"00011101", B"11110111", B"00110100", B"11100100", B"11010010",
1199
 B"00011101", B"00011100", B"00101111", B"00001111", B"11010000",
1200
 B"00111000", B"11011000", B"00100000", B"11100110", B"11001100",
1201
 B"11010010", B"11001110", B"00110000", B"00101000", B"11100101",
1202
 B"00101101", B"11110001", B"00101110", B"11010010", B"00011011",
1203
 B"11100000", B"11010000", B"11010110", B"01000010", B"00110101",
1204
 B"00011111", B"11100000", B"00110110", B"01001000", B"11110100",
1205
 B"00010111", B"00100011", B"11100000", B"00011111", B"00011010",
1206
 B"00000111", B"11100110", B"00100001", B"11101000", B"00010110",
1207
 B"11011111", B"00011000", B"00100100", B"11100001", B"00100110",
1208
 B"00011101", B"11010101", B"11110101", B"00101100", B"11101001",
1209
 B"00000101", B"11011000", B"11100101", B"11100110", B"00100000",
1210
 B"00000110", B"11110001", B"00000000", B"00100000", B"00110110",
1211
 B"11011001", B"11010110", B"11100000", B"11011101", B"11010100",
1212
 B"11001100", B"00100101", B"00110001", B"11100001", B"11101110",
1213
 B"11010100", B"11011001", B"11101100", B"11100011", B"11100001",
1214
 B"11010010", B"00100001", B"00010010", B"11110110", B"00011000",
1215
 B"11011101", B"11010000", B"11011101", B"00001011", B"11011000",
1216
 B"00011011", B"11100101", B"11010011", B"00100000", B"00100101",
1217
 B"11110010", B"00001000", B"11100111", B"11100000", B"11010101",
1218
 B"00010101", B"00011000", B"11111000", B"11011100", B"11110111",
1219
 B"00101011", B"11001011", B"11101101", B"11011001", B"11001110",
1220
 B"00101111", B"00101001", B"00001110", B"11100010", B"00011110",
1221
 B"11011110", B"00000100", B"11100000", B"11011100", B"11100011",
1222
 B"00001000", B"11010101", B"00010001", B"11011111", B"11010000",
1223
 B"00010111", B"00011010", B"00110001", B"11101000", B"11011110",
1224
 B"00001010", B"00000010", B"11101010", B"00000010", B"00010101",
1225
 B"11001011", B"00000000", B"10111100", B"11011010", B"00111110",
1226
 B"11101110", B"00001111", B"00010111", B"11100100", B"11001101",
1227
 B"00110101", B"11011110", B"11010111", B"11010110", B"11110101",
1228
 B"00101100", B"00110101", B"00011110", B"11101100", B"00100111",
1229
 B"11111001", B"00011100", B"11010110", B"00010101", B"00010100",
1230
 B"11010000", B"11101101", B"00011101", B"00000101", B"11100111",
1231
 B"11100011", B"11011001", B"11110010", B"11011010", B"11101000",
1232
 B"00011010", B"00101011", B"00000010", B"00000110", B"11111110",
1233
 B"11011110", B"00010100", B"11101000", B"11010100", B"11100111",
1234
 B"11111001", B"00110101", B"11011011", B"11011000", B"00100010",
1235
 B"11001001", B"00011010", B"11101110", B"11010101", B"00111000",
1236
 B"00000000", B"11100111", B"11101100", B"11010101", B"00011001",
1237
 B"00001100", B"00100111", B"00010110", B"00001010", B"00101000",
1238
 B"00101000", B"00100110", B"00010110", B"00101010", B"00101010",
1239
 B"00101000", B"00000101", B"00011100", B"00110111", B"01000101",
1240
 B"11100000", B"00100111", B"11010011", B"00010111", B"11100011",
1241
 B"00010011", B"00110110", B"11010010", B"11110010", B"11100001",
1242
 B"11110110", B"11110011", B"11100010", B"11011100", B"11101110",
1243
 B"11110100", B"00011010", B"00101111", B"00100000", B"00001010",
1244
 B"11011011", B"11111001", B"00101101", B"00111000", B"00011010",
1245
 B"00100000", B"11101000", B"11100001", B"00101110", B"11111110",
1246
 B"11001100", B"00011011", B"11011111", B"11000000", B"11011100",
1247
 B"11101010", B"00010001", B"00101100", B"00010111", B"11010011",
1248
 B"00101000", B"11110101", B"00100101", B"11011001", B"11011011",
1249
 B"11111100", B"00110010", B"00011101", B"00100000", B"00011101",
1250
 B"11011100", B"11101101", B"11010010", B"00101110", B"11100111",
1251
 B"00010000", B"11001100", B"11110000", B"00100111", B"00011011",
1252
 B"11100001", B"11000110", B"11011101", B"11110100", B"00101100",
1253
 B"00001011", B"00101100", B"00011111", B"00011101", B"00100011",
1254
 B"11011111", B"11100101", B"00010111", B"00101000", B"11011010",
1255
 B"11101010", B"11000111", B"00100100", B"00110110", B"00001100",
1256
 B"11010010", B"00101000", B"11100111", B"00100000", B"00001111",
1257
 B"11010011", B"00010011", B"00101110", B"11000000", B"00111101",
1258
 B"00000000", B"11110011", B"11011111", B"11010010", B"00100000",
1259
 B"11110000", B"00100001", B"11100101", B"10111011", B"00010101",
1260
 B"00111100", B"11010110", B"00011111", B"00010011", B"00000010",
1261
 B"00010011", B"00110001", B"11101010", B"00011111", B"11110000",
1262
 B"00101001", B"00101100", B"11010110", B"00101001", B"00010111",
1263
 B"11111100", B"00000101", B"10111100", B"11100100", B"11100001",
1264
 B"11011110", B"00001100", B"11010011", B"00011000", B"11010000",
1265
 B"01001111", B"11101111", B"11111100", B"11010011", B"00100011",
1266
 B"00101111", B"11101100", B"11011111", B"11100100", B"11011110",
1267
 B"00100111", B"00110001", B"11110110", B"00110010", B"11101010",
1268
 B"00000111", B"11101000", B"00001110", B"11010001", B"11100011",
1269
 B"11110001", B"00010110", B"00001100", B"00110010", B"11010101",
1270
 B"01000100", B"11110011", B"11011111", B"11110001", B"00101100",
1271
 B"00101010", B"11000111", B"00110001", B"11001111", B"11011011",
1272
 B"11100010", B"00011011", B"00110011", B"11110000", B"11111000",
1273
 B"00011111", B"00011101", B"11010111", B"11011010", B"11011111",
1274
 B"11001000", B"11111011", B"00011111", B"00010101", B"00001101",
1275
 B"11100010", B"00101000", B"11101000", B"00000010", B"11101000",
1276
 B"00001110", B"11100100", B"11001000", B"00000111", B"11001100",
1277
 B"11110001", B"11010011", B"11100000", B"00101110", B"11010110",
1278
 B"11011010", B"00110010", B"11111101", B"11010000", B"11101001",
1279
 B"11100000", B"11101111", B"11010101", B"11101001", B"11111010",
1280
 B"00001000", B"00110000", B"00010001", B"00001111", B"00000010",
1281
 B"11010110", B"11011001", B"00101001", B"00100101", B"11101001",
1282
 B"00100101", B"11001110", B"11100110", B"11100001", B"00100100",
1283
 B"00001111", B"00010010", B"00011101", B"11011111", B"00010010",
1284
 B"11111111", B"11101011", B"00100000", B"00101001", B"00111101",
1285
 B"00100011", B"11011000", B"11111101", B"11001110", B"00011100",
1286
 B"11001001", B"11011101", B"11100111", B"00011001", B"00001110",
1287
 B"11000110", B"11100010", B"00100100", B"00011101", B"00001000",
1288
 B"11110101", B"00110100", B"11011100", B"00101101", B"11100111",
1289
 B"00110010", B"11101111", B"00010010", B"11110001", B"11110011",
1290
 B"01000010", B"00011111", B"11000110", B"11001000", B"00101101",
1291
 B"00111001", B"00010001", B"00100110", B"11100110", B"11011110",
1292
 B"11001111", B"00001011", B"00111110", B"11101010", B"11010000",
1293
 B"11011010", B"11010110", B"11111000", B"00100101", B"11000001",
1294
 B"00100001", B"11011100", B"00000000", B"11110010", B"00001010",
1295
 B"00101100", B"00101100", B"00010110", B"11111101", B"00101001",
1296
 B"00010100", B"11111000", B"11010010", B"00011111", B"00110101",
1297
 B"00101001", B"11111001", B"00100100", B"00100111", B"11011111",
1298
 B"11111001", B"00101001", B"00101001", B"00110010", B"11100001",
1299
 B"11100000", B"00100100", B"00110010", B"11011010", B"11100000",
1300
 B"11011101", B"00100101", B"00101001", B"11100000", B"00010110",
1301
 B"11101010", B"11001011", B"11010111", B"00011001", B"00001010",
1302
 B"11101001", B"00100101", B"00011111", B"11010100", B"11001100",
1303
 B"00110110", B"00011011", B"00010110", B"11110111", B"00110011",
1304
 B"11110111", B"11110111", B"11100000", B"00011101", B"00000010",
1305
 B"11010010", B"11000101", B"11111001", B"11100001", B"00001100",
1306
 B"11011111", B"00110001", B"11001000", B"11100111", B"11010111",
1307
 B"11101011", B"00000000", B"00001011", B"00011010", B"00101101",
1308
 B"00010000", B"11011001", B"00011011", B"00100001", B"11101111",
1309
 B"00101010", B"00101110", B"11100111", B"00101011", B"00011110",
1310
 B"00000001", B"11101110", B"00011001", B"11000110", B"00111001",
1311
 B"11100101", B"00111100", B"00011111", B"00001000", B"00010110",
1312
 B"00010001", B"00010000", B"00100100", B"00111110", B"11100000",
1313
 B"00100001", B"00010110", B"11111110", B"11100110", B"11010001",
1314
 B"11101001", B"11011000", B"11010001", B"11101110", B"00110101",
1315
 B"00101010", B"00101010", B"00011101", B"11100011", B"11100001",
1316
 B"00000111", B"00100111", B"11011000", B"00011001", B"00001110",
1317
 B"00000001", B"11011000", B"00101100", B"11100000", B"00001110",
1318
 B"11100110", B"00011001", B"11110011", B"11101111", B"00011110",
1319
 B"00010001", B"00100111", B"11100101", B"00101111", B"00100100",
1320
 B"11100000", B"00011111", B"00110010", B"11110101", B"00011000",
1321
 B"11101100", B"11101110", B"00101111", B"11101010", B"11010010",
1322
 B"11010000", B"11011010", B"00100101", B"00011010", B"11101011",
1323
 B"00011111", B"00111000", B"11011110", B"11111100", B"00100111",
1324
 B"00011011", B"00111100", B"00101111", B"11100101", B"00101111",
1325
 B"11011001", B"00100011", B"11101011", B"11011011", B"11111000",
1326
 B"11101110", B"11010100", B"00100010", B"00001000", B"00001110",
1327
 B"00010100", B"00100010", B"11100000", B"00100000", B"00100111",
1328
 B"11010100", B"00100001", B"00110011", B"11100101", B"00110011",
1329
 B"00010101", B"00100011", B"11001110", B"00100011", B"11001001",
1330
 B"00110100", B"11001111", B"00011010", B"11011110", B"00110110",
1331
 B"11100001", B"11110000", B"11010101", B"00100000", B"01000000",
1332
 B"00111000", B"00110110", B"00011101", B"11001011", B"00100001",
1333
 B"11010010", B"00100110", B"11010000", B"00100110", B"00011000",
1334
 B"11001011", B"00011110", B"00001110", B"11100110", B"11010011",
1335
 B"00001010", B"11110111", B"11110010", B"11101011", B"11100010",
1336
 B"11110100", B"00101011", B"11010000", B"00001010", B"00110111",
1337
 B"00100110", B"11010000", B"01001100", B"00001111", B"11100001",
1338
 B"11011110", B"00101111", B"11010110", B"11000000", B"10110101",
1339
 B"00010010", B"00101000", B"11001101", B"00010010", B"11110010",
1340
 B"00100011", B"11100011", B"00011110", B"00011110", B"11001011",
1341
 B"00110110", B"00101100", B"11101001", B"00101100", B"00100001",
1342
 B"00100110", B"11001000", B"00100101", B"11011011", B"00110010",
1343
 B"11101011", B"11100010", B"11011100", B"00111000", B"00101011",
1344
 B"00111000", B"00100011", B"11100100", B"11100000", B"00001010",
1345
 B"11011100", B"11100001", B"11111000", B"11101111", B"00011111",
1346
 B"11010101", B"00111101", B"11111101", B"11101100", B"00100110",
1347
 B"00001010", B"00100001", B"00101111", B"11111111", B"11100110",
1348
 B"11010011", B"00100100", B"11011011", B"00011000", B"11101001",
1349
 B"11011101", B"00011010", B"00100111", B"00101010", B"00100100",
1350
 B"11100101", B"11011100", B"00011001", B"00010101", B"11100000",
1351
 B"11100000", B"11100111", B"00001111", B"11011110", B"00110010",
1352
 B"11011111", B"11100011", B"00101010", B"00010101", B"11011001",
1353
 B"11100000", B"11100111", B"00101011", B"00100111", B"11101010",
1354
 B"00011111", B"11011100", B"00101010", B"11011000", B"00111110",
1355
 B"00110101", B"11010011", B"00110100", B"00100111", B"11111010",
1356
 B"00100010", B"11101000", B"00111001", B"11000010", B"11010010",
1357
 B"11000101", B"00100010", B"00101101", B"00001011", B"00110010",
1358
 B"00010100", B"11010000", B"00100010", B"11011010", B"00010111",
1359
 B"11001000", B"00110000", B"00101100", B"00110110", B"00110110",
1360
 B"00010011", B"00101011", B"00101011", B"00110001", B"00011001",
1361
 B"11010110", B"00010000", B"00100101", B"11100011", B"00101000",
1362
 B"00000010", B"11011101", B"11001000", B"00100101", B"00010000",
1363
 B"00101110", B"11101001", B"00101011", B"11100001", B"00010000",
1364
 B"11011110", B"00110010", B"00101001", B"11100101", B"00000001",
1365
 B"11100110", B"11111110", B"11101011", B"00011000", B"01000000",
1366
 B"11101001", B"00100000", B"00100110", B"11010001", B"11100110",
1367
 B"00101011", B"11111110", B"11011111", B"00101010", B"00011101",
1368
 B"11011001", B"00000111", B"00011100", B"11101110", B"00111010",
1369
 B"00011100", B"00111101", B"00001010", B"00111010", B"00100011",
1370
 B"00101011", B"00011000", B"00010001", B"00001110", B"11010001",
1371
 B"11011100", B"00110001", B"00011000", B"11110101", B"11110000",
1372
 B"11011111", B"11010010", B"11011010", B"11001001", B"01000001",
1373
 B"00100001", B"00111011", B"00110000", B"11011100", B"00101001",
1374
 B"11011111", B"00011100", B"11110110", B"11101000", B"00100010",
1375
 B"00110000", B"00010101", B"00011111", B"10111101", B"00011100",
1376
 B"00110010", B"11011001", B"11101100", B"00010100", B"00110010",
1377
 B"11101011", B"11010110", B"00100010", B"11100011", B"11001000",
1378
 B"11110101", B"11010100", B"00011110", B"00001010", B"11101001",
1379
 B"11011111", B"11000101", B"00011011", B"11001001", B"00100010",
1380
 B"11110010", B"00000011", B"11000001", B"00110000", B"00111010",
1381
 B"11100111", B"00111000", B"11011010", B"00011111", B"11100100",
1382
 B"00111110", B"11100111", B"11100010", B"11001011", B"00101111",
1383
 B"00011110", B"11100000", B"11110011", B"11011011", B"00001100",
1384
 B"00101011", B"00100101", B"00100000", B"00010100", B"00101011",
1385
 B"11010001", B"11100111", B"00011111", B"11010001", B"11100000",
1386
 B"11010010", B"11001011", B"00011101", B"00011010", B"11101011",
1387
 B"00101100", B"00011101", B"11101110", B"11111001", B"00110111",
1388
 B"00100101", B"00001101", B"11010011", B"11100001", B"00101110",
1389
 B"00101110", B"11011001", B"11101010", B"11010111", B"11100111",
1390
 B"00010011", B"11100110", B"00101000", B"11001000", B"11001010",
1391
 B"00100110", B"00101001", B"00100000", B"00100010", B"11101011",
1392
 B"00100001", B"11000100", B"00111111", B"11011101", B"11100111",
1393
 B"00000010", B"00001101", B"00110000", B"11100000", B"01000000",
1394
 B"11101010", B"00101100", B"11101110", B"11010010", B"00100111",
1395
 B"10111011", B"00100010", B"11101110", B"11011101", B"00100010",
1396
 B"00110110", B"00001011", B"00100010", B"11001010", B"00010011",
1397
 B"11000101", B"00010110", B"11011010", B"11110111", B"11100110",
1398
 B"11100011", B"11010011", B"00011010", B"00100111", B"00001001",
1399
 B"00011111", B"11101110", B"11010011", B"11101101", B"01000011",
1400
 B"00011101", B"10111011", B"00011111", B"10111111", B"11111111",
1401
 B"11101100", B"10111011", B"11010110", B"00101010", B"00011010",
1402
 B"00010011", B"00101100", B"11011000", B"00011001", B"11110010",
1403
 B"11011001", B"11101000", B"00110010", B"00110001", B"11001000",
1404
 B"11101000", B"11111111", B"00000110", B"00010100", B"00000110",
1405
 B"00011110", B"11010100", B"11111010", B"00100110", B"00100001",
1406
 B"00100010", B"00000001", B"00011100", B"11011000", B"00111000",
1407
 B"11101001", B"00011001", B"00110001", B"00001100", B"11101110",
1408
 B"00010101", B"11010111", B"00011110", B"11101100", B"11011010",
1409
 B"11010001", B"11100010", B"11110010", B"00010110", B"00001110",
1410
 B"00101110", B"00100100", B"00010111", B"00010100", B"00011011",
1411
 B"00011101", B"00010110", B"00100010", B"00101111", B"00100011",
1412
 B"11011101", B"00010011", B"00011011", B"00110010", B"11100000",
1413
 B"00101100", B"11001110", B"00001111", B"00100011", B"00101100",
1414
 B"00100010", B"00100101", B"00100000", B"00110001", B"00100100",
1415
 B"00010000", B"11011011", B"11010010", B"11010111", B"11011011",
1416
 B"00101010", B"00010000", B"00011111", B"00101100", B"11011000",
1417
 B"11100110", B"11010111", B"00011110", B"00011100", B"11111001",
1418
 B"00100100", B"11010001", B"00010011", B"00100011", B"11100001",
1419
 B"11010111", B"11111100", B"00011111", B"11010010", B"11011010",
1420
 B"00101001", B"11011101", B"11010000", B"00100011", B"11101100",
1421
 B"11011111", B"11100011", B"11100100", B"11101011", B"00001101",
1422
 B"01000110", B"00101000", B"11011101", B"00100110", B"11001101",
1423
 B"00101010", B"00100000", B"11100101", B"00011001", B"11011000",
1424
 B"11010010", B"11100111", B"00011010", B"00010111", B"00011111",
1425
 B"11111110", B"00001110", B"00101011", B"11101001", B"00110100",
1426
 B"00111000", B"11100000", B"11100110", B"00100101", B"00001110",
1427
 B"00001000", B"11011110", B"00011000", B"11010100", B"00100010",
1428
 B"00100101", B"01000000", B"00010011", B"11100001", B"00100100",
1429
 B"11100010", B"00001111", B"11010011", B"00100110", B"00011110",
1430
 B"00001100", B"11111011", B"00100101", B"11010110", B"00001101",
1431
 B"11101101", B"11100101", B"00011000", B"00100001", B"11011111",
1432
 B"11100011", B"11000111", B"11010011", B"11001110", B"00010101",
1433
 B"11010110", B"00100001", B"00110101", B"11101101", B"00001110",
1434
 B"00100101", B"00000100", B"11000111", B"00011101", B"00011011",
1435
 B"00111001", B"11000111", B"00100000", B"11100111", B"00100011",
1436
 B"11101001", B"11101101", B"00110011", B"11001100", B"00001110",
1437
 B"11000110", B"11111000", B"00001010", B"11111000", B"11011010",
1438
 B"00100000", B"00100011", B"00010111", B"00111001", B"11001100",
1439
 B"11110010", B"00011110", B"00011011", B"00101000", B"00101011",
1440
 B"00010100", B"00010111", B"00101101", B"00100110", B"11010010",
1441
 B"11100000", B"00010101", B"11000010", B"01000000", B"11001000",
1442
 B"11000110", B"00100111", B"11000111", B"00001000", B"11010110",
1443
 B"11111000", B"00101011", B"00110100", B"00101010", B"00000110",
1444
 B"11000011", B"00010000", B"00000010", B"11000010", B"11010100",
1445
 B"00011100", B"00111000", B"10111110", B"11101010", B"00011011",
1446
 B"11110000", B"00000110", B"11110000", B"00111100", B"00101101",
1447
 B"11101011", B"11101000", B"11101000", B"11110001", B"00001010",
1448
 B"00101011", B"00001101", B"00011110", B"11011101", B"00000001",
1449
 B"11100111", B"11110001", B"00011110", B"00100100", B"00011000",
1450
 B"11010101", B"11100101", B"00011001", B"00000000", B"11011100",
1451
 B"00100111", B"00110000", B"11100000", B"11100010", B"00010001",
1452
 B"00111011", B"11101101", B"11010101", B"11100010", B"11010111",
1453
 B"00010001", B"10110000", B"00010010", B"00010011", B"00110010",
1454
 B"00101111", B"00010111", B"00011010", B"00010110", B"00011011",
1455
 B"00011110", B"00101100", B"00100010", B"00101010", B"00110010",
1456
 B"00101101", B"00110110", B"00001001", B"00110001", B"11011111",
1457
 B"11010010", B"00100000", B"11010100", B"01000100", B"11100001",
1458
 B"11010011", B"00110010", B"11010101", B"11011110", B"00110101",
1459
 B"11100011", B"00010111", B"11010010", B"11100000", B"00010000",
1460
 B"00001010", B"00100010", B"11110010", B"01000100", B"00001001",
1461
 B"11011001", B"11110110", B"00100011", B"00011001", B"00101010",
1462
 B"00001000", B"00100000", B"00011100", B"00010101", B"00101010",
1463
 B"00100100", B"11010101", B"00011000", B"00011010", B"00110001",
1464
 B"11110011", B"00001101", B"11001011", B"00110100", B"00111110",
1465
 B"11100000", B"00111100", B"00110000", B"11011100", B"00011000",
1466
 B"00101000", B"11110010", B"11010111", B"00111000", B"00100100",
1467
 B"11010101", B"11001110", B"11011111", B"11000110", B"00000001",
1468
 B"11101100", B"00001110", B"00010111", B"11011101", B"11110101",
1469
 B"11100011", B"11101111", B"11001010", B"11110001", B"11011001",
1470
 B"11100010", B"11110101", B"00100011", B"00011101", B"00011111",
1471
 B"00101000", B"11100100", B"00001100", B"00011101", B"11011101",
1472
 B"11100001", B"11000010", B"11101011", B"11100111", B"00011110",
1473
 B"00100011", B"00000110", B"11000010", B"00011101", B"11100100",
1474
 B"00011101", B"11011110", B"11011100", B"11101001", B"11011011",
1475
 B"00101010", B"00011111", B"11000111", B"00101110", B"11111001",
1476
 B"11000000", B"11010010", B"11100111", B"00000100", B"00111000",
1477
 B"11011101", B"00010111", B"11010011", B"11011000", B"11000111",
1478
 B"11010101", B"11100011", B"00100111", B"00010101", B"00011100",
1479
 B"00101001", B"01000001", B"11100000", B"10110100", B"11011111",
1480
 B"11101010", B"00110011", B"11011111", B"00011101", B"11000000",
1481
 B"00110100", B"11110000", B"11101001", B"11100001", B"11010100",
1482
 B"00011000", B"00110000", B"00110000", B"00101100", B"00001000",
1483
 B"00101101", B"00100100", B"00101010", B"00000111", B"00100011",
1484
 B"11110000", B"11001000", B"00100001", B"00110111", B"00000000",
1485
 B"00101101", B"11101001", B"11100011", B"00010111", B"11100010",
1486
 B"11100110", B"11100111", B"11100010", B"00100011", B"11101000",
1487
 B"01001010", B"11110001", B"11011100", B"11001010", B"00011000",
1488
 B"00101011", B"11110110", B"00100101", B"11010101", B"11100011",
1489
 B"00011001", B"11001111", B"00010010", B"11010101", B"11110100",
1490
 B"00101101", B"00101101", B"00100001", B"11011111", B"00100100",
1491
 B"11110100", B"11100010", B"00100000", B"00011011", B"11101101",
1492
 B"00110000", B"11010010", B"00001101", B"00110011", B"11000000",
1493
 B"00011010", B"00110110", B"11100010", B"01000010", B"00110010",
1494
 B"11101000", B"00100111", B"11101111", B"11110111", B"11001000",
1495
 B"00010011", B"11100111", B"11001110", B"00110010", B"00000011",
1496
 B"00011000", B"11011110", B"11001001", B"00100001", B"00100010",
1497
 B"00011110", B"00010010", B"00100101", B"00011101", B"00111001",
1498
 B"00001100", B"00010111", B"11010010", B"11000110", B"00010000",
1499
 B"00100011", B"00101011", B"00000010", B"11010010", B"10111100",
1500
 B"00100110", B"00001010", B"11101111", B"11010001", B"00010011",
1501
 B"00101110", B"00001001", B"11101000", B"11011000", B"11100011",
1502
 B"00100101", B"00001100", B"00010111", B"00111010", B"11110111",
1503
 B"11010110", B"01000111", B"00100100", B"11001011", B"11111001",
1504
 B"00100000", B"00100001", B"11010111", B"11101000", B"00001011",
1505
 B"00011010", B"11000101", B"00001111", B"00001101", B"11100101",
1506
 B"11011111", B"00110001", B"11101101", B"00010001", B"00100111",
1507
 B"11101111", B"11011100", B"11100010", B"11010110", B"00000011",
1508
 B"00010100", B"00100101", B"11100111", B"00011010", B"00011100",
1509
 B"11110110", B"11010101", B"00011110", B"11110110", B"00100011",
1510
 B"11111101", B"11100110", B"11101010", B"00101101", B"00100000",
1511
 B"11100001", B"00010001", B"11001101", B"11011011", B"00011101",
1512
 B"11101001", B"11000001", B"11101001", B"11000010", B"10110100",
1513
 B"00011000", B"11011011", B"00011001", B"11100100", B"11100001",
1514
 B"00010001", B"00110110", B"11110001", B"00100100", B"11011011",
1515
 B"11110001", B"11010001", B"00101010", B"00011010", B"11010100",
1516
 B"11100011", B"11011100", B"11110010", B"00001110", B"00010100",
1517
 B"11101011", B"00010111", B"11011101", B"00011010", B"00010110",
1518
 B"00100110", B"10111010", B"00010110", B"11010110", B"00110000",
1519
 B"11101100", B"00110000", B"11110110", B"10110110", B"00110000",
1520
 B"11010100", B"11100101", B"11100001", B"11010010", B"11011101",
1521
 B"00001011", B"00100010", B"11001011", B"11110000", B"10111100",
1522
 B"11011010", B"11011110", B"00011001", B"00001010", B"00100110",
1523
 B"00010011", B"00100100", B"00000101", B"00100001", B"00010001",
1524
 B"11000101", B"11100000", B"11110100", B"11011101", B"00000111",
1525
 B"00010000", B"00100011", B"00001001", B"00101111", B"11011111",
1526
 B"00110011", B"00110010", B"11011110", B"00010111", B"00110011",
1527
 B"11100111", B"00100111", B"11100011", B"00011101", B"00011100",
1528
 B"11110000", B"00111010", B"00100100", B"11101111", B"00011001",
1529
 B"00010010", B"11100111", B"11110100", B"00001000", B"00101010",
1530
 B"11010101", B"11100000", B"11010011", B"11001001", B"00010111",
1531
 B"11110010", B"00100111", B"11100001", B"11100011", B"00000100",
1532
 B"00011000", B"11011001", B"11100010", B"00001101", B"00010011",
1533
 B"11110010", B"11101101", B"11011001", B"11010110", B"10110011",
1534
 B"00101011", B"11001111", B"00101110", B"11110001", B"11100111",
1535
 B"00011100", B"00010010", B"11100010", B"00100001", B"11110110",
1536
 B"11110101", B"11101101", B"00100010", B"00101100", B"00011111",
1537
 B"11111110", B"00110110", B"11011000", B"00100101", B"11010111",
1538
 B"00111100", B"00000110", B"11100010", B"11100110", B"00011010",
1539
 B"11111100", B"00100011", B"00001111", B"11011010", B"11011001",
1540
 B"11100100", B"11110001", B"00010011", B"11100111", B"00010010",
1541
 B"11011001", B"11111100", B"01010111", B"00011111", B"11100101",
1542
 B"00100011", B"00111011", B"11100000", B"00010011", B"00101011",
1543
 B"11101010", B"00010110", B"00011001", B"00001101", B"11010100",
1544
 B"00101110", B"11101000", B"00100110", B"11000110", B"11001110",
1545
 B"11011010", B"11100000", B"00011111", B"00011111", B"11101001",
1546
 B"00010111", B"11101110", B"00010001", B"00010011", B"11011101",
1547
 B"11101000", B"00010110", B"00100010", B"11010011", B"11001101",
1548
 B"11101011", B"11010010", B"00101100", B"11100000", B"00011000",
1549
 B"11100010", B"10111111", B"00101100", B"11100110", B"11010101",
1550
 B"01001111", B"11000101", B"00101101", B"11010011", B"11100100",
1551
 B"00010000", B"00000110", B"00011011", B"00100101", B"00110101",
1552
 B"00100100", B"00010101", B"00010011", B"00111100", B"11011000",
1553
 B"11101000", B"11000010", B"00010111", B"00101010", B"11010111",
1554
 B"00001110", B"10111000", B"00100101", B"00110000", B"11001011",
1555
 B"00010001", B"00010010", B"10110100", B"11011111", B"00010010",
1556
 B"11101010", B"00011111", B"11111101", B"11010010", B"11100101",
1557
 B"11001111", B"11000011", B"11100110", B"00100000", B"11101100",
1558
 B"11110100", B"00011101", B"11100011", B"11100011", B"11100111",
1559
 B"11100100", B"11011000", B"00011110", B"00100011", B"00001111",
1560
 B"11101101", B"00010101", B"11011110", B"00100100", B"00011001",
1561
 B"00010111", B"11111100", B"00010101", B"00100101", B"00011100",
1562
 B"00100010", B"00001000", B"11101111", B"00011001", B"00010100",
1563
 B"00101010", B"11110000", B"00001010", B"11110000", B"00011100",
1564
 B"11000100", B"01010011", B"11010100", B"00110011", B"11110000",
1565
 B"11011110", B"00010111", B"01000010", B"11011000", B"00100100",
1566
 B"11101000", B"11011000", B"11011110", B"00111111", B"00011110",
1567
 B"11010101", B"11010001", B"01001011", B"00011100", B"00101010",
1568
 B"11001111", B"00111101", B"11010100", B"00011101", B"00010110",
1569
 B"11101100", B"11100101", B"00011010", B"11100101", B"11011100",
1570
 B"11100010", B"11000110", B"00011110", B"00100110", B"11101111",
1571
 B"00101001", B"00100000", B"11110000", B"11011100", B"00010001",
1572
 B"11001100", B"00111010", B"11100010", B"00000000", B"11011010",
1573
 B"11011011", B"00100010", B"00010000", B"11011000", B"11100001",
1574
 B"11111011", B"11011001", B"00110111", B"00100011", B"00100010",
1575
 B"00101110", B"11001001", B"00011110", B"00100110", B"00100000",
1576
 B"11010011", B"00101110", B"11100100", B"00010001", B"00011010",
1577
 B"00111011", B"11100111", B"11000100", B"00101010", B"00101000",
1578
 B"11100111", B"11011001", B"11101011", B"00101100", B"11011000",
1579
 B"00100100", B"11101110", B"11110101", B"00111000", B"00001100",
1580
 B"00010001", B"00001001", B"00101101", B"00100010", B"00110111",
1581
 B"00111101", B"00110010", B"00010100", B"00101010", B"00101100",
1582
 B"11011111", B"00100101", B"00101110", B"11110100", B"11010010",
1583
 B"00101000", B"11101101", B"00011010", B"11101100", B"11101011",
1584
 B"11101011", B"00101000", B"00111001", B"11010111", B"11001111",
1585
 B"11101000", B"11011010", B"00111110", B"00000111", B"11011000",
1586
 B"00010010", B"11011001", B"11011001", B"00011110", B"00100001",
1587
 B"00010001", B"11010110", B"00001100", B"11000110", B"00111000",
1588
 B"00011100", B"00000111", B"11001100", B"11001101", B"00110110",
1589
 B"00101000", B"11100000", B"11000011", B"00100111", B"00000111",
1590
 B"00011001", B"00110010", B"11101100", B"00101111", B"00100001",
1591
 B"11111001", B"00011100", B"11100000", B"00101010", B"11011100",
1592
 B"11100110", B"11010011", B"00100000", B"00100101", B"10111101",
1593
 B"00100110", B"00010101", B"11100100", B"11100001", B"11011010",
1594
 B"00000011", B"11010001", B"00100110", B"11010001", B"00011101",
1595
 B"00100111", B"11100011", B"00110000", B"00001000", B"11101100",
1596
 B"00001001", B"10111110", B"11011000", B"11100111", B"11010011",
1597
 B"00101000", B"11001011", B"00111001", B"11101011", B"11011011",
1598
 B"11001001", B"11111010", B"00101001", B"11010010", B"00000000",
1599
 B"11000110", B"00011111", B"11010000", B"11011010", B"11001111",
1600
 B"11011010", B"00100000", B"10111110", B"00001011", B"00010000",
1601
 B"00100000", B"11010111", B"11101110", B"00001111", B"00010001",
1602
 B"11100011", B"11010111", B"00010000", B"11110101", B"11101010",
1603
 B"11101010", B"11010101", B"00001110", B"11110000", B"00110101",
1604
 B"00010011", B"11101100", B"00011110", B"00011110", B"11111000",
1605
 B"00011001", B"00101100", B"11011110", B"11010111", B"00000010",
1606
 B"00101010", B"00110101", B"00010101", B"00000100", B"11101010",
1607
 B"11100111", B"11011000", B"00011111", B"11100100", B"00100100",
1608
 B"11001101", B"11111011", B"00011010", B"00011101", B"00000000",
1609
 B"11010000", B"11101001", B"00110100", B"11001011", B"11000011",
1610
 B"11011111", B"11100001", B"11010111", B"00110101", B"11101110",
1611
 B"00000000", B"11101000", B"00011101", B"00011011", B"11001101",
1612
 B"11001100", B"11100001", B"11010100", B"11101011", B"00110010",
1613
 B"00100111", B"00011000", B"00101010", B"11100110", B"11110110",
1614
 B"11101000", B"00011000", B"00011001", B"11100000", B"00011001",
1615
 B"11010111", B"11101001", B"00101110", B"11001101", B"11011010",
1616
 B"11100111", B"00101010", B"00101000", B"11011011", B"00001000",
1617
 B"11001100", B"11110101", B"11010110", B"11101100", B"00010001",
1618
 B"11100111", B"00001011", B"11111010", B"11110000", B"11111110",
1619
 B"00100111", B"00100010", B"11100010", B"00010111", B"11011001",
1620
 B"00100100", B"00100100", B"11011010", B"11100100", B"00010111",
1621
 B"00101010", B"11100011", B"11110110", B"00101011", B"00010110",
1622
 B"11100001", B"11100100", B"00001101", B"00110100", B"11101011",
1623
 B"11110000", B"00010111", B"00010001", B"11010000", B"11011101",
1624
 B"00100101", B"00010010", B"11010110", B"11110110", B"00100001",
1625
 B"00100111", B"00010100", B"11011101", B"00101001", B"11011101",
1626
 B"00110001", B"11010000", B"00111111", B"11111010", B"11100000",
1627
 B"00011100", B"11101011", B"11010011", B"11110000", B"11100011",
1628
 B"11010101", B"11111100", B"10111010", B"00011101", B"00101000",
1629
 B"11000111", B"00100100", B"11110000", B"11100100", B"00001100",
1630
 B"00101110", B"00110111", B"11000111", B"00000010", B"11010100",
1631
 B"00011110", B"00100111", B"00010011", B"00010000", B"00101100",
1632
 B"00011011", B"00011111", B"01001111", B"00010110", B"11010100",
1633
 B"11010001", B"00101100", B"00001110", B"00011000", B"00011101",
1634
 B"11101100", B"11010110", B"00010001", B"00101011", B"00011101",
1635
 B"11100010", B"00011100", B"11101011", B"00011100", B"11010101",
1636
 B"00100110", B"11011011", B"00011101", B"00101001", B"11001001",
1637
 B"00001110", B"00011111", B"11001110", B"00001010", B"00011101",
1638
 B"00001011", B"11100011", B"00100011", B"11010100", B"00001111",
1639
 B"11011010", B"00010001", B"00101111", B"11001110", B"00011101",
1640
 B"00011010", B"11000100", B"11011100", B"00010000", B"11011001",
1641
 B"00110001", B"00101111", B"11101011", B"11110110", B"11101111",
1642
 B"11011110", B"11100110", B"00011101", B"00011000", B"11011000",
1643
 B"11110110", B"00110010", B"00001110", B"11110000", B"11011100",
1644
 B"00010111", B"11011011", B"00111110", B"00100111", B"11100010",
1645
 B"00001110", B"00011111", B"11011001", B"00001110", B"00111010",
1646
 B"11011000", B"11110010", B"00000101", B"00011010", B"11011111",
1647
 B"11100000", B"00010001", B"00000101", B"11001010", B"11010101",
1648
 B"00000111", B"00100011", B"11001001", B"11101100", B"00101001",
1649
 B"11110001", B"11100001", B"11011011", B"11010000", B"00101000",
1650
 B"11100110", B"01001010", B"11011101", B"00011001", B"00110100",
1651
 B"11101110", B"00010100", B"11001111", B"11101010", B"11011110",
1652
 B"11100111", B"11110111", B"11011001", B"11011010", B"00010111",
1653
 B"00101100", B"00010001", B"00101110", B"11110110", B"11011100",
1654
 B"00101001", B"11010100", B"00001100", B"11010011", B"11101010",
1655
 B"00110101", B"00111101", B"00110001", B"00001100", B"00101011",
1656
 B"00011110", B"00000100", B"00100010", B"00101001", B"00011110",
1657
 B"00011001", B"00011110", B"00001100", B"00100110", B"00011110",
1658
 B"11111111", B"00100000", B"11101010", B"00011111", B"11101111",
1659
 B"00001100", B"11001001", B"11101000", B"11110111", B"00100111",
1660
 B"00101110", B"00010000", B"00100100", B"00011001", B"00010101",
1661
 B"00010001", B"00011010", B"00110100", B"00011000", B"11110101",
1662
 B"11011001", B"00111000", B"11101101", B"11101001", B"11010011",
1663
 B"11010001", B"00000001", B"11110001", B"11011101", B"01001000",
1664
 B"11010110", B"11100100", B"11100110", B"11100100", B"00011111",
1665
 B"00111101", B"00101000", B"11011110", B"00011011", B"11011001",
1666
 B"00011011", B"11010000", B"11010000", B"11111001", B"00101111",
1667
 B"00100111", B"00010100", B"00010110", B"11011011", B"11100111",
1668
 B"11010101", B"11011001", B"11100000", B"11011101", B"00001010",
1669
 B"00011101", B"00010010", B"00101001", B"11101110", B"11110011",
1670
 B"00010001", B"00101110", B"00010111", B"00010110", B"11100101",
1671
 B"11101001", B"00110001", B"00010111", B"00010110", B"00011101",
1672
 B"00011001", B"00100110", B"00100101", B"00001011", B"11000111",
1673
 B"00001111", B"00100011", B"11100000", B"11110000", B"10111100",
1674
 B"11100010", B"11101000", B"11011100", B"11100100", B"00100001",
1675
 B"11101000", B"00101100", B"11000000", B"11001111", B"00100011",
1676
 B"00100010", B"00010011", B"00110110", B"11110111", B"00011001",
1677
 B"11011100", B"00100000", B"11100001", B"11001100", B"00100011",
1678
 B"00111110", B"11010111", B"11111101", B"11101000", B"11100101",
1679
 B"11100110", B"00010010", B"11011010", B"00101000", B"10111001",
1680
 B"11100010", B"11100000", B"00100001", B"00010010", B"00100101",
1681
 B"11011001", B"00111011", B"11011111", B"11011100", B"11100111",
1682
 B"00011110", B"00101100", B"00010111", B"11100111", B"00010110",
1683
 B"11110000", B"11011110", B"11011100", B"00100001", B"00011110",
1684
 B"11011110", B"00011110", B"00100100", B"00010101", B"11010111",
1685
 B"00101101", B"11101100", B"00101000", B"00101101", B"11011011",
1686
 B"00010110", B"11011011", B"11011001", B"11101011", B"11111000",
1687
 B"00100000", B"00100100", B"00011000", B"00110000", B"11011000",
1688
 B"00011101", B"11000000", B"00100111", B"11011110", B"11001111",
1689
 B"11101111", B"00101001", B"00111110", B"00010010", B"00110101",
1690
 B"11111110", B"11100000", B"11011110", B"11001110", B"11110010",
1691
 B"11011111", B"00111100", B"00010000", B"00001111", B"00001111",
1692
 B"10111111", B"11000100", B"11110101", B"11101010", B"00010110",
1693
 B"00110110", B"00100011", B"00100101", B"11010100", B"00100100",
1694
 B"00001011", B"00010111", B"11010011", B"00100100", B"11111001",
1695
 B"00101011", B"00000010", B"11011111", B"11110010", B"00100100",
1696
 B"00110001", B"11011100", B"00011100", B"10111111", B"11011100",
1697
 B"00101111", B"00011000", B"00100001", B"11110010", B"00010010",
1698
 B"11101111", B"11101101", B"00100001", B"11100000", B"00011010",
1699
 B"11100100", B"11011011", B"11010110", B"00001100", B"00000100",
1700
 B"00101101", B"00100110", B"11011010", B"11001011", B"00001010",
1701
 B"00001110", B"11100011", B"11001110", B"11011010", B"11011111",
1702
 B"11100011", B"11101010", B"00010100", B"00101001", B"00010111",
1703
 B"00001111", B"00001101", B"11010110", B"11011110", B"00011010",
1704
 B"11101100", B"11000011", B"11010101", B"11101000", B"11011101",
1705
 B"00100001", B"00101010", B"11110101", B"11011110", B"11011110",
1706
 B"11100000", B"11001100", B"11010000", B"11111011", B"11110101",
1707
 B"00101001", B"11011011", B"11100110", B"00111011", B"00001110",
1708
 B"00001001", B"00011100", B"11010100", B"11010010", B"00100011",
1709
 B"00110001", B"11110010", B"11011100", B"11011010", B"11011010",
1710
 B"11111010", B"11011101", B"00011100", B"11000101", B"11010010",
1711
 B"00010010", B"00000001", B"00100001", B"11010111", B"11001010",
1712
 B"11001110", B"00010010", B"00010001", B"11101101", B"00010111",
1713
 B"00100010", B"11100010", B"00001010", B"00110100", B"11110101",
1714
 B"11111000", B"00100100", B"10111111", B"00000001", B"00100111",
1715
 B"00011100", B"00010110", B"00101111", B"11110001", B"11011011",
1716
 B"00100101", B"00011111", B"00011001", B"00110111", B"00100111",
1717
 B"00100010", B"00011101", B"00100001", B"00101010", B"11001001",
1718
 B"00100001", B"11100000", B"11100111", B"11010000", B"00010011",
1719
 B"00010001", B"00011010", B"00011001", B"00100010", B"00100010",
1720
 B"00100100", B"00011111", B"00110010", B"00100101", B"00011111",
1721
 B"00100100", B"11101100", B"11101011", B"01000001", B"00011101",
1722
 B"11101100", B"11011111", B"00001001", B"11010001", B"11011110",
1723
 B"11111110", B"00110001", B"11001000", B"00010111", B"11011110",
1724
 B"11110011", B"00101011", B"00001110", B"11100000", B"11010101",
1725
 B"11110110", B"11011110", B"11000000", B"00010001", B"11100010",
1726
 B"11011001", B"11011100", B"11110110", B"00100000", B"11100001",
1727
 B"00001110", B"00111111", B"11110110", B"11000000", B"00001100",
1728
 B"11100110", B"11110001", B"11011000", B"11101111", B"00101101",
1729
 B"11100010", B"11111011", B"00100011", B"11100001", B"11011001",
1730
 B"11010001", B"11110011", B"00100101", B"00111001", B"11100101",
1731
 B"11100001", B"00011100", B"00110001", B"11111000", B"11101101",
1732
 B"11001100", B"00001111", B"11000111", B"00101100", B"11110001",
1733
 B"11100011", B"00011000", B"00001001", B"00100111", B"00010011",
1734
 B"00010111", B"00001011", B"00011101", B"00100010", B"00011100",
1735
 B"00010001", B"11011011", B"00101010", B"00100011", B"11111001",
1736
 B"11001001", B"00011000", B"11010011", B"00101111", B"00001100",
1737
 B"11100001", B"11110001", B"00000000", B"11101110", B"00101001",
1738
 B"11011011", B"00101010", B"00001010", B"11110000", B"11011101",
1739
 B"11101000", B"11011111", B"00100101", B"11101000", B"00100110",
1740
 B"11010011", B"11011010", B"11101000", B"11101001", B"00100100",
1741
 B"11000000", B"10110110", B"00011100", B"11110110", B"00010100",
1742
 B"00101011", B"10111100", B"11111000", B"11100011", B"11001110",
1743
 B"11101111", B"00001101", B"00010000", B"00100011", B"11110000",
1744
 B"00011011", B"11101110", B"00100011", B"11010100", B"11011101",
1745
 B"00110111", B"11100010", B"11110000", B"11011000", B"00010011",
1746
 B"00110110", B"10110110", B"11011010", B"11000110", B"00111011",
1747
 B"00011000", B"00100110", B"00010111", B"11001111", B"11011100",
1748
 B"11101011", B"11000110", B"00100011", B"11000101", B"00101110",
1749
 B"11010001", B"11111101", B"00011000", B"00010001", B"11010110",
1750
 B"11011100", B"00101100", B"11111010", B"11010111", B"10111101",
1751
 B"11100110", B"11101011", B"11100110", B"00011011", B"11011010",
1752
 B"00111010", B"11001001", B"11011001", B"00100001", B"00100101",
1753
 B"00001111", B"11001111", B"00011111", B"00100010", B"11101000",
1754
 B"11100111", B"00011100", B"11010011", B"00011010", B"11011111",
1755
 B"00100010", B"11100010", B"11010101", B"00010011", B"00001000",
1756
 B"00010111", B"00111000", B"00100100", B"11110000", B"00100011",
1757
 B"11101001", B"00010010", B"11011010", B"00011010", B"00000011",
1758
 B"00001010", B"11100011", B"00101011", B"11100110", B"00010001",
1759
 B"11100101", B"00000010", B"11011000", B"11101111", B"11011111",
1760
 B"11100100", B"00011001", B"11011101", B"00100110", B"00001011",
1761
 B"00111001", B"00111101", B"11100100", B"00011011", B"11101111",
1762
 B"00011010", B"11110011", B"11001011", B"00010000", B"11100110",
1763
 B"11010001", B"11100110", B"00000000", B"00010110", B"11011010",
1764
 B"11001011", B"11101000", B"00100010", B"00111110", B"00100111",
1765
 B"00011100", B"11011101", B"11100010", B"11011000", B"11101001",
1766
 B"00011110", B"11010010", B"00100101", B"11111011", B"11011111",
1767
 B"00111000", B"00011111", B"00011001", B"11010111", B"00011101",
1768
 B"00011110", B"11010011", B"11011011", B"00111101", B"00110100",
1769
 B"00010111", B"00000101", B"11100011", B"00101111", B"11011010",
1770
 B"00100011", B"11011110", B"00010011", B"11100110", B"11010011",
1771
 B"00001010", B"11011110", B"11101110", B"11011101", B"11101000",
1772
 B"11101011", B"11101010", B"00110000", B"11111100", B"00100011",
1773
 B"11101001", B"11101111", B"00010000", B"11100101", B"11110011",
1774
 B"11010110", B"11111100", B"00100001", B"00011010", B"00010001",
1775
 B"00101001", B"00100110", B"00000110", B"00010110", B"11110100",
1776
 B"00011001", B"11010001", B"00010011", B"11110100", B"00100001",
1777
 B"00101010", B"11001011", B"11010010", B"00100001", B"00100101",
1778
 B"11001110", B"11101000", B"00000110", B"00011001", B"00111010",
1779
 B"11110111", B"00110001", B"11101110", B"00100000", B"11010101",
1780
 B"11110110", B"00101101", B"11111000", B"00101011", B"11011011",
1781
 B"11010101", B"00100001", B"00100011", B"01001001", B"11101000",
1782
 B"00100000", B"00001101", B"11010100", B"00011001", B"00101011",
1783
 B"11111100", B"00011000", B"00101111", B"00101111", B"11010111",
1784
 B"00000011", B"11111100", B"00100000", B"11110111", B"11110010",
1785
 B"11010000", B"11011011", B"11100111", B"11110110", B"00001010",
1786
 B"00010010", B"00101101", B"00111000", B"00001101", B"11101010",
1787
 B"11101101", B"00011000", B"00100001", B"11101110", B"11001010",
1788
 B"11010101", B"00100111", B"11010111", B"00101100", B"11001111",
1789
 B"11101110", B"00011111", B"00100111", B"11010011", B"00100110",
1790
 B"11100000", B"11101011", B"11100100", B"00010011", B"00110000",
1791
 B"11011011", B"11001111", B"00110111", B"00001101", B"00010110",
1792
 B"11100101", B"00110010", B"11110011", B"00011001", B"10111010",
1793
 B"11100100", B"00100000", B"11100011", B"00011101", B"11010100",
1794
 B"11100011", B"00110000", B"00011001", B"00000011", B"11110000",
1795
 B"11111101", B"00110011", B"00100110", B"11100111", B"11100100",
1796
 B"00111101", B"00010101", B"11011111", B"00101011", B"00011001",
1797
 B"11100010", B"11010110", B"00101101", B"00011111", B"00100000",
1798
 B"00101111", B"11100010", B"00100000", B"11001011", B"00010010",
1799
 B"11100111", B"10111100", B"11010110", B"11010101", B"00010100",
1800
 B"00011100", B"11010001", B"00110100", B"11011011", B"00100011",
1801
 B"11010100", B"00011111", B"11011110", B"11010101", B"11111001",
1802
 B"00011111", B"00100101", B"11100110", B"11000010", B"11110010",
1803
 B"11010010", B"00011101", B"00011100", B"00011010", B"00110001",
1804
 B"00000110", B"11100110", B"00011010", B"11111101", B"11011100",
1805
 B"00000000", B"00101000", B"00100011", B"11100101", B"00010101",
1806
 B"00100011", B"00101110", B"11011100", B"00110101", B"11100110",
1807
 B"00100111", B"11011000", B"00100101", B"00101100", B"11101110",
1808
 B"00000110", B"11101011", B"11010100", B"11011010", B"00010001",
1809
 B"11100010", B"00100011", B"11100110", B"11100100", B"11011000",
1810
 B"00100110", B"00001010", B"11101010", B"00011001", B"11100001",
1811
 B"11011000", B"11001101", B"00010000", B"00110000", B"11101011",
1812
 B"00110010", B"11001001", B"11011011", B"11100001", B"11101010",
1813
 B"00100111", B"11010000", B"00100010", B"11010101", B"11110001",
1814
 B"11011110", B"11011101", B"00011001", B"00111110", B"00010000",
1815
 B"00101100", B"00001111", B"00110100", B"00010000", B"11101011",
1816
 B"00100100", B"11011011", B"00000110", B"11010011", B"01001011",
1817
 B"11101011", B"00100000", B"00001111", B"11101010", B"00101100",
1818
 B"00100010", B"11111011", B"11011111", B"11100110", B"11100001",
1819
 B"11000001", B"00110111", B"00011111", B"00101001", B"00101110",
1820
 B"11101100", B"00110100", B"00100110", B"00010000", B"11101011",
1821
 B"00001001", B"11011101", B"00011010", B"11110000", B"00011101",
1822
 B"00011100", B"00000100", B"11100101", B"00011010", B"11101011",
1823
 B"00010010", B"00010011", B"11011111", B"11010100", B"11001001",
1824
 B"11011010", B"00100011", B"11010100", B"00101010", B"00111011",
1825
 B"11001111", B"00000011", B"11010110", B"11001110", B"11010100",
1826
 B"00010010", B"00101001", B"00101110", B"00000001", B"11101111",
1827
 B"11011101", B"00101101", B"00001111", B"11110101", B"11101001",
1828
 B"01000011", B"00010010", B"00101010", B"10110100", B"00011100",
1829
 B"11011110", B"00100110", B"11001111", B"00001111", B"00001001",
1830
 B"00011011", B"01001100", B"00101000", B"00011010", B"01001111",
1831
 B"00010101", B"00001010", B"11100111", B"00110010", B"11000111",
1832
 B"11101111", B"11010011", B"11111011", B"00011010", B"11101101",
1833
 B"11011111", B"00101010", B"11001100", B"00011010", B"11100100",
1834
 B"11011000", B"00011111", B"11100101", B"11011111", B"11011011",
1835
 B"00001101", B"00001000", B"11111000", B"00110011", B"11001011",
1836
 B"00110101", B"00011100", B"00101010", B"11100101", B"00001001",
1837
 B"11100011", B"00000010", B"11001111", B"00011010", B"11011000",
1838
 B"11101111", B"00010101", B"11100011", B"11110101", B"11010001",
1839
 B"11010010", B"11010011", B"00001010", B"11010000", B"11000010",
1840
 B"11000101", B"00001111", B"00001001", B"11110010", B"00011111",
1841
 B"11011001", B"11100000", B"00110100", B"11100000", B"11100111",
1842
 B"11010010", B"11010000", B"00101011", B"11101101", B"00001100",
1843
 B"00011110", B"00100001", B"00110000", B"00100101", B"00011111",
1844
 B"11011010", B"11100011", B"00000110", B"00110110", B"00100011",
1845
 B"00011001", B"11110100", B"00000101", B"00001111", B"00011101",
1846
 B"00010111", B"11000101", B"00101000", B"11000100", B"00100111",
1847
 B"11001010", B"11110000", B"11011001", B"00010100", B"00011101",
1848
 B"00000110", B"00110010", B"11011100", B"11010111", B"11001111",
1849
 B"00000000", B"00010101", B"11110000", B"00110110", B"11100001",
1850
 B"11000010", B"00000110", B"11100100", B"11100000", B"11100000",
1851
 B"11110111", B"00111001", B"00010001", B"00011010", B"00110111",
1852
 B"00101011", B"11101010", B"11100001", B"11001100", B"11011010",
1853
 B"00100010", B"11011010", B"00110111", B"00100111", B"00101001",
1854
 B"00010101", B"11011000", B"00010111", B"11011110", B"00011111",
1855
 B"11100010", B"00101011", B"11100101", B"00100001", B"00011000",
1856
 B"11011011", B"00100110", B"00000010", B"11011000", B"00110001",
1857
 B"11101101", B"00111111", B"00110001", B"11100011", B"00111111",
1858
 B"00100011", B"11001001", B"11101011", B"11011100", B"00100110",
1859
 B"00000111", B"00010010", B"00010000", B"11101111", B"11100111",
1860
 B"11101010", B"11101001", B"00011000", B"00100101", B"00100000",
1861
 B"00100001", B"11010001", B"11011111", B"11111000", B"11100000",
1862
 B"01000010", B"11011000", B"00100110", B"11100100", B"11100000",
1863
 B"00010110", B"11110010", B"00110000", B"11000111", B"00100101",
1864
 B"11101101", B"11111100", B"00100011", B"00101010", B"11100010",
1865
 B"00101010", B"11110100", B"11010101", B"11101011", B"00110100",
1866
 B"00011111", B"11101111", B"11101111", B"00010010", B"11110101",
1867
 B"00011110", B"11111010", B"11001101", B"00110001", B"00000100",
1868
 B"11010111", B"00010000", B"11011000", B"11011100", B"11101100",
1869
 B"00010111", B"01001000", B"11110111", B"11000101", B"11000010",
1870
 B"00101000", B"11100011", B"00000110", B"11010111", B"11110000",
1871
 B"00011111", B"11110100", B"11110001", B"11111110", B"00010101",
1872
 B"11110001", B"00011011", B"00010100", B"11111110", B"00000111",
1873
 B"11100111", B"00001010", B"00110100", B"11101101", B"00101011",
1874
 B"00101101", B"11101010", B"00010000", B"00110010", B"11110001",
1875
 B"11000111", B"00001010", B"00001000", B"11110111", B"11100001",
1876
 B"11010101", B"10111101", B"11111100", B"11101000", B"00011000",
1877
 B"00101100", B"00100110", B"00001110", B"11011101", B"00011110",
1878
 B"11100001", B"00001110", B"11010111", B"11000000", B"00101001",
1879
 B"00100010", B"00100101", B"11100000", B"11110001", B"00100110",
1880
 B"11101010", B"11100000", B"11100101", B"11101100", B"11111000",
1881
 B"11111011", B"00010110", B"11101000", B"11011010", B"11100101",
1882
 B"11010010", B"11011011", B"00000000", B"10011101", B"11010100",
1883
 B"11011011", B"00011000", B"00110101", B"00110001", B"00011101",
1884
 B"00110011", B"11011010", B"11101010", B"00011101", B"11011001",
1885
 B"11001100", B"11101100", B"11011001", B"00010100", B"11010001",
1886
 B"11101010", B"00001010", B"11010100", B"11100101", B"11101000",
1887
 B"11011100", B"00011101", B"00010111", B"00110101", B"00100010",
1888
 B"00001111", B"00011000", B"00100110", B"00001100", B"11111011",
1889
 B"00010110", B"11100010", B"00101101", B"11111101", B"11010000",
1890
 B"00010111", B"00011110", B"00110001", B"11010011", B"11011111",
1891
 B"11011010", B"11100011", B"00100111", B"11011100", B"00101011",
1892
 B"00000110", B"10110110", B"11110000", B"10111100", B"11111000",
1893
 B"00011011", B"11011000", B"00101010", B"00010110", B"00100001",
1894
 B"11001101", B"11010010", B"00011010", B"00011111", B"11101011",
1895
 B"11110001", B"00010110", B"11011001", B"00011000", B"10111110",
1896
 B"11101011", B"11011001", B"00100110", B"00010101", B"11101000",
1897
 B"00100111", B"00011110", B"11011010", B"11100110", B"11001000",
1898
 B"11001111", B"11110001", B"00111001", B"00100110", B"00100010",
1899
 B"00100111", B"11110100", B"01001110", B"00011111", B"00011111",
1900
 B"00100000", B"11100000", B"11100101", B"11001101", B"11000110",
1901
 B"00011110", B"11000100", B"00101101", B"00101001", B"11000110",
1902
 B"11001000", B"00111111", B"11111010", B"11100011", B"11111011",
1903
 B"11011111", B"11101111", B"11101001", B"00100000", B"00001011",
1904
 B"00100001", B"00100110", B"11101010", B"11111111", B"00100001",
1905
 B"00110000", B"01000001", B"11110101", B"00110010", B"11011010",
1906
 B"00001110", B"11001110", B"11100100", B"11001011", B"00101100",
1907
 B"00011110", B"00011101", B"00101100", B"11101010", B"10111010",
1908
 B"00000001", B"00010011", B"11111010", B"11111101", B"11100010",
1909
 B"10111001", B"01000000", B"00110111", B"00110100", B"11111010",
1910
 B"00100110", B"11101001", B"11100010", B"11010111", B"00010011",
1911
 B"00110001", B"00100011", B"00110011", B"00100001", B"00010000",
1912
 B"00011001", B"00100011", B"00010111", B"00100010", B"00010101",
1913
 B"00111111", B"00000010", B"00111101", B"00010011", B"11100011",
1914
 B"11100001", B"00010110", B"11011010", B"11001101", B"11010101",
1915
 B"11010011", B"00001111", B"00111011", B"00100110", B"00111010",
1916
 B"01000011", B"00011010", B"11100000", B"11110101", B"00011100",
1917
 B"00011000", B"11100010", B"11101101", B"11011110", B"00100111",
1918
 B"00010011", B"11011001", B"11100001", B"11101011", B"11001000",
1919
 B"11010011", B"11011101", B"00111011", B"01000110", B"00100110",
1920
 B"10111100", B"00100011", B"11011001", B"00101111", B"00101111",
1921
 B"11000000", B"00001101", B"11101001", B"11011000", B"11101010",
1922
 B"00100010", B"00100101", B"00010101", B"11110010", B"11101100",
1923
 B"00000000", B"11010100", B"11111100", B"11001010", B"11010011",
1924
 B"11100101", B"00010001", B"00111000", B"11010011", B"11010110",
1925
 B"11101110", B"11010001", B"11101001", B"11010011", B"11110110",
1926
 B"11011000", B"00010101", B"00001110", B"11100111", B"00110010",
1927
 B"11010110", B"00100000", B"11110111", B"11101111", B"11100111",
1928
 B"11100000", B"00011000", B"11100101", B"01001001", B"11101110",
1929
 B"00010110", B"11011000", B"11010010", B"00001100", B"00001101",
1930
 B"00100000", B"11011100", B"11011000", B"00110011", B"00001111",
1931
 B"00011101", B"11011110", B"00110100", B"11010010", B"00010110",
1932
 B"00010001", B"00000001", B"00001011", B"11011101", B"00001001",
1933
 B"11010000", B"00011011", B"11101111", B"11000110", B"00011111",
1934
 B"00101100", B"11100011", B"11101010", B"11010110", B"11000010",
1935
 B"11101011", B"11110010", B"11100011", B"11011110", B"00110100",
1936
 B"00100111", B"11110000", B"00101111", B"11110001", B"11100001",
1937
 B"00100010", B"11011101", B"11100100", B"11011011", B"00010101",
1938
 B"00100010", B"00000010", B"00101110", B"11001101", B"00101011",
1939
 B"11011111", B"11110001", B"11011111", B"00111001", B"00101011",
1940
 B"00101101", B"00111010", B"00101010", B"11011101", B"00100111",
1941
 B"11100010", B"00011010", B"11010111", B"00000000", B"11011001",
1942
 B"11100011", B"11111101", B"00110011", B"00100001", B"00001100",
1943
 B"00110010", B"11010010", B"11011111", B"11100101", B"11010010",
1944
 B"00101111", B"00100100", B"00100110", B"00101111", B"00011011",
1945
 B"00110100", B"11011100", B"00011110", B"00001111", B"11001111",
1946
 B"11111001", B"00110001", B"11011011", B"11011111", B"00100000",
1947
 B"11000110", B"00100010", B"11010101", B"11111010", B"00001010",
1948
 B"00101110", B"11111011", B"11001001", B"00011000", B"00011100",
1949
 B"11111010", B"11010101", B"00101011", B"00010011", B"00001010",
1950
 B"11111000", B"00011001", B"01001001", B"11101100", B"11101111",
1951
 B"00011010", B"11111001", B"00101110", B"00010110", B"11011001",
1952
 B"00011001", B"11110001", B"00110100", B"11110100", B"00000100",
1953
 B"11011011", B"00101010", B"11110111", B"11011000", B"00010000",
1954
 B"00010101", B"11101010", B"00011011", B"11011001", B"00100000",
1955
 B"00001010", B"11010010", B"00111101", B"00100111", B"11101010",
1956
 B"11010110", B"11101000", B"00100011", B"11101100", B"00101101",
1957
 B"11100000", B"11011000", B"00110000", B"11101000", B"00010010",
1958
 B"00100100", B"11011100", B"11010101", B"11000110", B"11111001",
1959
 B"11100111", B"11010111", B"00110000", B"01000010", B"11000101",
1960
 B"11100001", B"11001101", B"11100011", B"11110001", B"11110001",
1961
 B"00001000", B"00010011", B"00100110", B"00111101", B"00011111",
1962
 B"11011001", B"11010011", B"11110010", B"00100010", B"00101100",
1963
 B"00010010", B"11100010", B"00010100", B"11011110", B"00011101",
1964
 B"11100011", B"00010000", B"00100100", B"11001010", B"10111100",
1965
 B"11000111", B"00000010", B"11010011", B"00100001", B"00000001",
1966
 B"11100101", B"00101011", B"00100010", B"11000111", B"11011101",
1967
 B"00011011", B"00011111", B"11011100", B"11110000", B"11110000",
1968
 B"10111100", B"00100010", B"11101110", B"00110101", B"11100111",
1969
 B"00101111", B"11010001", B"00001100", B"10111111", B"11001110",
1970
 B"00011101", B"00101110", B"00011101", B"00010001", B"11011010",
1971
 B"11100011", B"00100110", B"00101010", B"11110010", B"11001010",
1972
 B"00011110", B"00011011", B"11000000", B"00001111", B"00011110",
1973
 B"11011110", B"11111111", B"00011110", B"11101000", B"00010001",
1974
 B"11111010", B"11100011", B"11010101", B"00100101", B"00100100",
1975
 B"11100100", B"00110100", B"00010010", B"11011010", B"11001001",
1976
 B"00011010", B"00011001", B"11101101", B"11111100", B"11111110",
1977
 B"11100111", B"11001100", B"00000110", B"11010111", B"11010101",
1978
 B"11101101", B"11110000", B"11100011", B"00001001", B"11100001",
1979
 B"00010100", B"11100101", B"11010010", B"00101000", B"00011011",
1980
 B"00100000", B"11010001", B"11101100", B"11100100", B"11110011",
1981
 B"00101001", B"11010000", B"00101001", B"00010100", B"00011110",
1982
 B"11011110", B"00000010", B"00011100", B"10111100", B"11100101",
1983
 B"00101011", B"00100101", B"11110010", B"11101111", B"11010001",
1984
 B"10111010", B"00010110", B"11100110", B"00010000", B"00010000",
1985
 B"00101000", B"11011000", B"11011000", B"01000001", B"00100000",
1986
 B"11010111", B"11100001", B"00100101", B"00111010", B"11101110",
1987
 B"11011100", B"00100001", B"00000011", B"11100001", B"11101010",
1988
 B"00000111", B"11100001", B"01000101", B"01000101", B"11100111",
1989
 B"00011001", B"00011110", B"11101101", B"11001010", B"11010101",
1990
 B"11001101", B"00101000", B"00100000", B"11101010", B"00111100",
1991
 B"11000101", B"11110100", B"11001101", B"00000001", B"00100000",
1992
 B"01000000", B"11111100", B"00001111", B"11100101", B"11101011",
1993
 B"11110100", B"00101101", B"00001101", B"00100110", B"00011100",
1994
 B"11111011", B"11010100", B"00100100", B"00110001", B"00101101",
1995
 B"11010101", B"00101001", B"11101011", B"00110000", B"11101010",
1996
 B"00010101", B"00001111", B"11100100", B"11100001", B"00111000",
1997
 B"00100101", B"11010001", B"11101001", B"00010100", B"00100100",
1998
 B"00010010", B"00001010", B"00100100", B"00101010", B"00001110",
1999
 B"00100111", B"11001101", B"00101001", B"11001110", B"00010100",
2000
 B"11110110", B"11010011", B"00111110", B"00010011", B"10110011",
2001
 B"11100111", B"11011101", B"00101000", B"00011001", B"11010111",
2002
 B"00011001", B"11011001", B"00100000", B"11111111", B"11100101",
2003
 B"00100010", B"00010010", B"11000000", B"00010000", B"00011111",
2004
 B"11011111", B"00100101", B"00110111", B"11100111", B"11011010",
2005
 B"11000011", B"11110100", B"11100101", B"11001110", B"00100001",
2006
 B"00011111", B"00101000", B"11110010", B"00100000", B"11001101",
2007
 B"00000111", B"11010111", B"11101101", B"00101000", B"00100001",
2008
 B"00011011", B"00110101", B"11100001", B"11111111", B"11001100",
2009
 B"00001011", B"00101100", B"00101000", B"11011111", B"00011110",
2010
 B"11000110", B"00010100", B"00101001", B"00100011", B"11100101",
2011
 B"00101001", B"00011111", B"11111000", B"11011101", B"00001001",
2012
 B"00100010", B"00101100", B"00011011", B"11010100", B"00011110",
2013
 B"11100111", B"00011110", B"11011101", B"00000000", B"11010011",
2014
 B"11000011", B"00100001", B"11000100", B"11101110", B"11101001",
2015
 B"11011000", B"00101000", B"11010101", B"00101000", B"00011101",
2016
 B"11011100", B"01000000", B"00001101", B"11011110", B"11010101",
2017
 B"00100000", B"11101111", B"11011000", B"11010100", B"00110100",
2018
 B"00010111", B"11101100", B"11110110", B"00100011", B"00100100",
2019
 B"00101011", B"11001101", B"00100001", B"11101011", B"00010011",
2020
 B"11001111", B"11101000", B"11000100", B"11100001", B"00101010",
2021
 B"00101011", B"00010110", B"00011110", B"11010100", B"00100000",
2022
 B"00100110", B"11111000", B"11011110", B"11100011", B"11110000",
2023
 B"11110001", B"00010101", B"00100100", B"00110111", B"11110111",
2024
 B"00011011", B"11001111", B"00100001", B"11110001", B"11001101",
2025
 B"11110010", B"00001001", B"11000001", B"00100111", B"11010111",
2026
 B"11010011", B"00011110", B"00101111", B"00100111", B"00010000",
2027
 B"11101100", B"00111110", B"11100111", B"00010101", B"00000100",
2028
 B"00010000", B"00111001", B"00110100", B"00101000", B"01000011",
2029
 B"00110101", B"00100011", B"00011110", B"00100011", B"11101110",
2030
 B"00010110", B"11100011", B"11101000", B"11100100", B"00001000",
2031
 B"00001011", B"00011001", B"11010101", B"11100000", B"11100100",
2032
 B"11011011", B"00011100", B"11100100", B"00010001", B"11100111",
2033
 B"00010111", B"00101011", B"00000111", B"11101110", B"11010010",
2034
 B"11001101", B"11100111", B"11010101", B"00110001", B"11110000",
2035
 B"11100111", B"11100111", B"00110011", B"00111111", B"11001111",
2036
 B"11000101", B"00001111", B"00011011", B"00101101", B"11101110",
2037
 B"00011110", B"11010001", B"00110010", B"00011011", B"11101000",
2038
 B"11010101", B"11011100", B"11100111", B"00110000", B"11010010",
2039
 B"00001111", B"11100110", B"00010010", B"00011010", B"11010100",
2040
 B"11010111", B"11001010", B"11001011", B"11011000", B"11011111",
2041
 B"00101000", B"00010110", B"00101010", B"11101000", B"00011110",
2042
 B"11001100", B"00100000", B"00011100", B"00001001", B"11101011",
2043
 B"11100000", B"00010111", B"00100011", B"11010100", B"11011100",
2044
 B"11010101", B"11100100", B"00001010", B"00011000", B"00011111",
2045
 B"00010011", B"11011001", B"11101101", B"11010001", B"00110011",
2046
 B"00010111", B"00100001", B"11001000", B"00011101", B"11111000",
2047
 B"00101110", B"11100110", B"11010000", B"11100011", B"11001101",
2048
 B"00011101", B"00101101", B"00101010", B"01001011", B"11110001",
2049
 B"00001111", B"11100101", B"00110100", B"11010011", B"11011000",
2050
 B"00000111", B"00100000", B"00100000", B"11100000", B"11101101",
2051
 B"11111010", B"11111010", B"00101010", B"11101000", B"00100011",
2052
 B"00111010", B"00111100", B"00001101", B"11110101", B"00011000",
2053
 B"11011111", B"00001110", B"11011011", B"00010001", B"11101011",
2054
 B"00010000", B"11101011", B"11110000", B"11101000", B"00000101",
2055
 B"00110001", B"11101101", B"00100100", B"00010011", B"11010000",
2056
 B"11100000", B"11100010", B"11011100", B"11100000", B"11111010",
2057
 B"11001001", B"11100010", B"11011010", B"00101000", B"00000101",
2058
 B"00101110", B"00011010", B"11010001", B"11111100", B"00100110",
2059
 B"11011010", B"00101001", B"11100111", B"11100100", B"00100001",
2060
 B"11101000", B"11111011", B"11100000", B"00110101", B"11011001",
2061
 B"11010100", B"00101001", B"00110101", B"00011010", B"11011001",
2062
 B"00001010", B"00100010", B"00000100", B"00100100", B"00011100",
2063
 B"11100010", B"00011111", B"00100100", B"11010110", B"00000101",
2064
 B"00010111", B"11001110", B"11110001", B"00001001", B"00010011",
2065
 B"11001110", B"00011100", B"11000111", B"11101100", B"11010100",
2066
 B"00100111", B"00011111", B"11010110", B"00101001", B"11101010",
2067
 B"00010001", B"11011101", B"11111001", B"00010010", B"00100001",
2068
 B"11111010", B"00100011", B"11100111", B"11100000", B"00000010",
2069
 B"00010110", B"01000111", B"11110010", B"00110111", B"11100000",
2070
 B"00011010", B"00100111", B"11111100", B"00001001", B"00110011",
2071
 B"11011111", B"11010100", B"11101111", B"00010110", B"00101101",
2072
 B"11010011", B"00101000", B"11011001", B"00111000", B"00010010",
2073
 B"11110011", B"11110001", B"00100101", B"11011111", B"11010001",
2074
 B"11100001", B"11011011", B"11001011", B"00001101", B"00101001",
2075
 B"11100100", B"11100001", B"11000101", B"11100010", B"11001101",
2076
 B"11100100", B"10111110", B"00001110", B"00010001", B"00011001",
2077
 B"00011011", B"11011001", B"11100000", B"11111000", B"00011110",
2078
 B"00101010", B"00010010", B"10111100", B"00101100", B"11100111",
2079
 B"00001101", B"10111111", B"11001010", B"00100000", B"11111011",
2080
 B"11111000", B"00100100", B"11010111", B"11011010", B"00011110",
2081
 B"00111111", B"11010101", B"11010110", B"00100101", B"00110111",
2082
 B"11101100", B"10111011", B"11100011", B"00110100", B"00011010",
2083
 B"11010001", B"11100011", B"11110010", B"11000111", B"11000011",
2084
 B"01000011", B"00100111", B"11010011", B"11011101", B"00011100",
2085
 B"00011000", B"11110111", B"11101010", B"11111010", B"11011001",
2086
 B"00101100", B"11011100", B"00101101", B"11100100", B"11100101",
2087
 B"00110000", B"11011111", B"11010001", B"00010001", B"00010110",
2088
 B"00100010", B"00111001", B"11011100", B"11011000", B"00010101",
2089
 B"00011101", B"00101110", B"00100101", B"00011000", B"00010111",
2090
 B"00011000", B"00011110", B"10111001", B"00100011", B"11001001",
2091
 B"00111111", B"11000110", B"11110111", B"00011001", B"00000110",
2092
 B"11011011", B"00100010", B"00111000", B"00100101", B"11010111",
2093
 B"00110000", B"11010001", B"00100001", B"11100000", B"00011110",
2094
 B"00110010", B"11010111", B"11011101", B"11010101", B"11100001",
2095
 B"11101001", B"00000010", B"11001011", B"11001111", B"00000111",
2096
 B"11011110", B"00101001", B"11011110", B"00100001", B"11001101",
2097
 B"00101001", B"00100101", B"11100001", B"11011011", B"11110001",
2098
 B"10111101", B"11001011", B"11100010", B"11110101", B"00001110",
2099
 B"00011100", B"00110101", B"00111100", B"11101110", B"11101011",
2100
 B"00111000", B"00011010", B"00001011", B"00011110", B"00010010",
2101
 B"00011001", B"00001011", B"00001010", B"00110110", B"11011001",
2102
 B"00011100", B"11010111", B"11000100", B"11100011", B"00110000",
2103
 B"00101111", B"00000011", B"11100000", B"00110011", B"11010110",
2104
 B"11001101", B"11100110", B"00010010", B"00100110", B"00010001",
2105
 B"00001010", B"11001001", B"00011000", B"00011111", B"11001100",
2106
 B"11100001", B"00110011", B"11001110", B"00010101", B"11001110",
2107
 B"11001001", B"11011110", B"00000110", B"01001101", B"11101100",
2108
 B"00010010", B"11111001", B"00111000", B"11011000", B"11000000",
2109
 B"11001010", B"00011001", B"00010110", B"11011001", B"11110100",
2110
 B"00100001", B"00110100", B"00011010", B"00111001", B"11100100",
2111
 B"11101001", B"00011110", B"11101100", B"00010010", B"11100001",
2112
 B"11010001", B"11001000", B"00011011", B"00100111", B"11100010",
2113
 B"00101011", B"00010010", B"11111010", B"11010110", B"11110101",
2114
 B"11000111", B"11010010", B"11011101", B"00101110", B"11010000",
2115
 B"00100011", B"11010100", B"11000111", B"00011011", B"00100000",
2116
 B"11110010", B"00110001", B"00010111", B"00011001", B"11111010",
2117
 B"00100010", B"11011000", B"00100110", B"11001110", B"11010010",
2118
 B"00110100", B"00010101", B"00011101", B"00100110", B"11000010",
2119
 B"11000111", B"11010111", B"00001110", B"00100111", B"01001111",
2120
 B"11101001", B"00100000", B"11010110", B"00110010", B"11100011",
2121
 B"11100100", B"00100011", B"11101011", B"00100111", B"11010010",
2122
 B"11011000", B"00110001", B"11000100", B"11101110", B"00100011",
2123
 B"11100001", B"11111011", B"00000000", B"11110100", B"01000000",
2124
 B"00101101", B"00101010", B"00110111", B"11100001", B"00011111",
2125
 B"11100110", B"00011101", B"11011011", B"00100010", B"10101111",
2126
 B"11100101", B"00011000", B"11000011", B"11001010", B"11011111",
2127
 B"11011110", B"00110101", B"11110010", B"11010010", B"00100010",
2128
 B"11010010", B"11011001", B"11111101", B"11101100", B"00000010",
2129
 B"00001001", B"11110010", B"11010111", B"11011001", B"00101100",
2130
 B"00010110", B"11100010", B"11101110", B"00101111", B"11000100",
2131
 B"00101011", B"11101010", B"11101011", B"00100100", B"00001110",
2132
 B"00110010", B"00011111", B"11011101", B"00100100", B"00110101",
2133
 B"10101111", B"11011110", B"00001101", B"11011100", B"11100011",
2134
 B"00011111", B"00011110", B"00100100", B"01000010", B"11011001",
2135
 B"11001100", B"00101100", B"11001000", B"00000000", B"11110110",
2136
 B"11100011", B"00101001", B"11011111", B"00100011", B"00011001",
2137
 B"00000101", B"00000100", B"00011001", B"00010100", B"11010111",
2138
 B"11100000", B"00001010", B"00001001", B"11110000", B"11100010",
2139
 B"00011111", B"11101100", B"11100000", B"11001110", B"11011101",
2140
 B"00011101", B"00011010", B"00000100", B"11010111", B"00100001",
2141
 B"11100010", B"00011111", B"11010001", B"00111110", B"00100010",
2142
 B"11101010", B"00101000", B"00011001", B"11011001", B"11101101",
2143
 B"00011111", B"11101100", B"11011001", B"11100010", B"11001001",
2144
 B"00001011", B"00110000", B"00010111", B"00100101", B"00101101",
2145
 B"11011101", B"11100110", B"00110110", B"11100110", B"11001111",
2146
 B"11010001", B"10111011", B"00011110", B"11011010", B"00011111",
2147
 B"11010011", B"11101001", B"11010010", B"00100010", B"00100010",
2148
 B"11101010", B"11000011", B"11100101", B"11011010", B"00100111",
2149
 B"00100100", B"00010100", B"00010111", B"00101111", B"00101001",
2150
 B"11001110", B"11111001", B"00101000", B"00010101", B"11011110",
2151
 B"11110000", B"11100011", B"11100001", B"11100101", B"11111110",
2152
 B"00000001", B"11100110", B"00011101", B"11010001", B"00110101",
2153
 B"00110110", B"00110100", B"00011011", B"00000111", B"00011011",
2154
 B"00010011", B"00001000", B"10111001", B"00111000", B"11110010",
2155
 B"00011001", B"00000000", B"11100001", B"00010000", B"00000100",
2156
 B"00010100", B"11101110", B"11101000", B"00010101", B"11000001",
2157
 B"11011011", B"11010110", B"11000110", B"11001110", B"11011010",
2158
 B"00101110", B"11111011", B"00101110", B"11001100", B"11111111",
2159
 B"00000110", B"00010001", B"00011111", B"11010110", B"00001010",
2160
 B"00101101", B"00001111", B"11100001", B"11001100", B"11110100",
2161
 B"00101000", B"11101111", B"00110110", B"11010101", B"11100010",
2162
 B"00011100", B"00101000", B"00000010", B"11011001", B"11110000",
2163
 B"11010100", B"11101100", B"00100101", B"11101100", B"00011100",
2164
 B"00011000", B"00011111", B"00110110", B"00110011", B"00010101",
2165
 B"00000111", B"00010010", B"00100100", B"00100100", B"11100001",
2166
 B"11110010", B"00110101", B"11001000", B"11011011", B"11100001",
2167
 B"11101111", B"00101111", B"00010100", B"11011011", B"00100000",
2168
 B"00010110", B"11110101", B"11101110", B"00001110", B"00110100",
2169
 B"00100110", B"00100000", B"11100011", B"00001011", B"11100101",
2170
 B"00011110", B"11011110", B"00010101", B"11011100", B"00110111",
2171
 B"00100100", B"11011110", B"00100101", B"00100110", B"11001111",
2172
 B"11011011", B"00001000", B"11100100", B"11100100", B"11011100",
2173
 B"00100001", B"00100110", B"11010101", B"00100011", B"00010110",
2174
 B"11110001", B"11111010", B"00011100", B"00101100", B"00000101",
2175
 B"10111100", B"00010100", B"11001111", B"11001101", B"11110000",
2176
 B"11100101", B"00010110", B"11001100", B"00110010", B"00011101",
2177
 B"11110111", B"00100110", B"00101001", B"11100100", B"00011010",
2178
 B"00100010", B"11100001", B"11011110", B"00010100", B"10111000",
2179
 B"00011101", B"11011000", B"11001101", B"00111110", B"00100110",
2180
 B"00010110", B"11101010", B"11010000", B"11010101", B"11011101",
2181
 B"00011010", B"11100110", B"00000111", B"10111101", B"11010111",
2182
 B"11101000", B"00100101", B"00100011", B"11100100", B"00011111",
2183
 B"11100011", B"11110011", B"11011110", B"00011111", B"00110011",
2184
 B"00110111", B"00101101", B"11100001", B"11111011", B"11000101",
2185
 B"11010010", B"11001110", B"00101111", B"00100100", B"11110111",
2186
 B"00110010", B"11011100", B"11011111", B"00000001", B"00100111",
2187
 B"11100000", B"00010100", B"11100001", B"11110010", B"00101010",
2188
 B"00011101", B"00111011", B"11010100", B"11010111", B"00100011",
2189
 B"00011101", B"11000000", B"11011011", B"00101110", B"11001000",
2190
 B"11100000", B"11110110", B"11001100", B"00011110", B"11001010",
2191
 B"00011101", B"00101000", B"00100010", B"11011111", B"01000000",
2192
 B"00010001", B"11100011", B"11000101", B"00110101", B"01000111",
2193
 B"00100011", B"00011100", B"00100110", B"00010000", B"00010111",
2194
 B"00010101", B"01000110", B"10111011", B"11101001", B"11011110",
2195
 B"11000100", B"00010101", B"00000001", B"00011100", B"00000110",
2196
 B"00100011", B"00010110", B"11110011", B"00100101", B"00011111",
2197
 B"11100010", B"00000111", B"00001110", B"11110101", B"11001111",
2198
 B"00101101", B"00101001", B"00101000", B"00000100", B"11100010",
2199
 B"11011010", B"00011000", B"11010111", B"11101110", B"00101110",
2200
 B"11101101", B"11010100", B"11110101", B"11100011", B"01000111",
2201
 B"00000110", B"11110001", B"00011011", B"00000110", B"11110010",
2202
 B"11110110", B"11100111", B"00011000", B"00100101", B"00101001",
2203
 B"00101101", B"00011101", B"00011101", B"00001011", B"00001101",
2204
 B"00010110", B"00111000", B"00010011", B"00110011", B"00011011",
2205
 B"00011110", B"00101101", B"00001111", B"11000010", B"00111000",
2206
 B"11111111", B"00001110", B"11010001", B"11100011", B"00011100",
2207
 B"00110001", B"10111100", B"11011000", B"00010100", B"11101010",
2208
 B"00001001", B"11011000", B"11100100", B"00011011", B"00101101",
2209
 B"11010010", B"00111000", B"00110010", B"00000101", B"00100100",
2210
 B"00110100", B"11101010", B"00000001", B"11011010", B"11100101",
2211
 B"11111011", B"11111011", B"00000111", B"11100111", B"00011110",
2212
 B"00100001", B"00100101", B"00110010", B"11011100", B"00101110",
2213
 B"11010111", B"00000011", B"11110000", B"11110011", B"00010111",
2214
 B"11011110", B"00010111", B"11101001", B"11100110", B"00100110",
2215
 B"00011101", B"00100101", B"00011111", B"00101110", B"00010100",
2216
 B"00101101", B"00010110", B"00101011", B"00100110", B"00011011",
2217
 B"00100110", B"11100100", B"11100101", B"00101001", B"00010110",
2218
 B"11100111", B"11101111", B"11100000", B"00100010", B"10111101",
2219
 B"11110010", B"11101010", B"00000011", B"00010101", B"11001111",
2220
 B"11100100", B"00100000", B"11010011", B"00101011", B"11011111",
2221
 B"11100111", B"00111101", B"00011101", B"11010100", B"11010000",
2222
 B"00110111", B"00010100", B"00011010", B"00001100", B"11101111",
2223
 B"11001101", B"11011111", B"00001010", B"00101100", B"00011001",
2224
 B"11000111", B"00110000", B"11110110", B"00010111", B"00010000",
2225
 B"00000011", B"00100110", B"11001111", B"11011011", B"11101000",
2226
 B"00010001", B"00011111", B"11010010", B"11000100", B"11011011",
2227
 B"00110010", B"00100000", B"11011001", B"00010010", B"11000101",
2228
 B"11011101", B"11100011", B"00010100", B"11010000", B"00000000",
2229
 B"11011000", B"11011110", B"00010110", B"11100011", B"00100001",
2230
 B"00100010", B"11100001", B"11010101", B"11011001", B"11010001",
2231
 B"11100010", B"11011000", B"11101101", B"11101101", B"00011111",
2232
 B"00100101", B"11010101", B"00111100", B"11010100", B"11011011",
2233
 B"11011011", B"11101111", B"11011011", B"00100011", B"11111111",
2234
 B"00101110", B"00001111", B"11011100", B"11101110", B"11001110",
2235
 B"00100111", B"00100101", B"11010111", B"00110010", B"11000111",
2236
 B"00110101", B"11001000", B"11000110", B"11101110", B"11100100",
2237
 B"00100110", B"11000100", B"00101001", B"11010001", B"11100000",
2238
 B"00011101", B"11010100", B"00010111", B"11011111", B"11100010",
2239
 B"01000001", B"11100011", B"00110000", B"11110111", B"00100010",
2240
 B"11011100", B"11011010", B"00011110", B"00111001", B"11001001",
2241
 B"00011011", B"00011101", B"11101101", B"11100000", B"11101100",
2242
 B"11011110", B"11011000", B"11011011", B"00110111", B"11010111",
2243
 B"00010110", B"00000011", B"11011110", B"00010111", B"00010111",
2244
 B"11100110", B"11100111", B"11011110", B"11101011", B"00111010",
2245
 B"00101010", B"00001100", B"11110100", B"00101000", B"11011001",
2246
 B"11000001", B"11101110", B"11010010", B"00011101", B"11010000",
2247
 B"00100111", B"00101001", B"11010011", B"11101111", B"00010101",
2248
 B"11000110", B"11110101", B"11101010", B"11011011", B"11011101",
2249
 B"00100001", B"00000000", B"00001100", B"11100111", B"00011000",
2250
 B"11010000", B"00011111", B"11100111", B"00110010", B"11100110",
2251
 B"00101010", B"11011110", B"00001001", B"00101100", B"00011111",
2252
 B"00101111", B"11111111", B"00001111", B"11001000", B"11100111",
2253
 B"11010110", B"00101100", B"00110110", B"11101010", B"11010111",
2254
 B"11100111", B"00011100", B"00011001", B"11100110", B"00011111",
2255
 B"11010000", B"11010010", B"00000000", B"11010110", B"00101000",
2256
 B"11010100", B"11010111", B"00110111", B"00100000", B"00101010",
2257
 B"00100100", B"00010011", B"00110110", B"00101011", B"00010110",
2258
 B"00101010", B"00011110", B"00010101", B"11101010", B"11100100",
2259
 B"11100010", B"11110000", B"00110011", B"11011011", B"00101011",
2260
 B"00100110", B"11011011", B"00000110", B"00010101", B"11011000",
2261
 B"11011110", B"11100111", B"11101000", B"11010100", B"11011010",
2262
 B"11100001", B"11010001", B"00101011", B"00011111", B"00001100",
2263
 B"00011111", B"11011110", B"00101101", B"00011010", B"00000110",
2264
 B"11000100", B"01000000", B"11110011", B"00010110", B"11010001",
2265
 B"00101100", B"00001011", B"11101000", B"11101001", B"11101110",
2266
 B"11000101", B"11100000", B"00100001", B"11101001", B"11110110",
2267
 B"00100000", B"11010101", B"11011000", B"11010001", B"11001001",
2268
 B"00001101", B"11010011", B"00001100", B"11001101", B"11100110",
2269
 B"11101010", B"11111111", B"00100011", B"00100001", B"00011000",
2270
 B"11101101", B"00001101", B"00110110", B"11010001", B"11001100",
2271
 B"00011000", B"11011100", B"00110011", B"10110111", B"11111110",
2272
 B"11010000", B"00101101", B"00011011", B"11010111", B"11101101",
2273
 B"11010110", B"11000011", B"11111011", B"00011000", B"00100100",
2274
 B"00010011", B"00010110", B"00100000", B"00100100", B"00101110",
2275
 B"00010101", B"00011000", B"00111000", B"00101001", B"00001011",
2276
 B"11011001", B"10111011", B"00110100", B"00111110", B"11100110",
2277
 B"00101100", B"11110100", B"11100101", B"00101001", B"11101010",
2278
 B"00010010", B"11100010", B"11011001", B"11101111", B"00100111",
2279
 B"00010001", B"00101100", B"11001000", B"00011110", B"11011010",
2280
 B"11101111", B"11110011", B"00101001", B"00100000", B"11100011",
2281
 B"11110001", B"00100010", B"10111001", B"00010100", B"11100101",
2282
 B"11011001", B"11101110", B"00011100", B"11011000", B"11001011",
2283
 B"11111001", B"00000011", B"00001111", B"11110111", B"11111111",
2284
 B"00100010", B"11010101", B"11011000", B"11011110", B"11001111",
2285
 B"00101011", B"11010010", B"00011100", B"00010101", B"11100001",
2286
 B"00111100", B"11000010", B"11010011", B"11011111", B"00111001",
2287
 B"00101101", B"00001111", B"00011010", B"11111010", B"11010100",
2288
 B"00011100", B"00101000", B"11011010", B"11001010", B"00110011",
2289
 B"11011000", B"00100001", B"11101000", B"11001100", B"11101001",
2290
 B"00011000", B"00000000", B"00101010", B"00100010", B"11001001",
2291
 B"11101100", B"00001100", B"00110011", B"11111000", B"11100111",
2292
 B"00101110", B"00100000", B"11011101", B"11011001", B"00110000",
2293
 B"00010111", B"11001100", B"11011110", B"11111011", B"00011110",
2294
 B"00001111", B"00000000", B"11110110", B"00010001", B"11111110",
2295
 B"00001110", B"11011110", B"11010100", B"00100010", B"11110001",
2296
 B"11111110", B"11111100", B"11100010", B"00101100", B"11001011",
2297
 B"00011010", B"11101100", B"00000111", B"11100111", B"00110010",
2298
 B"00101101", B"11100101", B"11110110", B"00011101", B"00101111",
2299
 B"00100010", B"11101010", B"11111011", B"11100001", B"00011011",
2300
 B"00101010", B"11011110", B"11111010", B"11101011", B"11011100",
2301
 B"00011111", B"11010101", B"00110010", B"11111000", B"00101100",
2302
 B"11101010", B"00100001", B"00000010", B"11100010", B"00011110",
2303
 B"00011001", B"11011000", B"11101000", B"00001110", B"00010110",
2304
 B"00101110", B"00110000", B"11101000", B"11001100", B"11100111",
2305
 B"10111101", B"11100001", B"00100110", B"00011101", B"11100101",
2306
 B"01001010", B"11111100", B"00110000", B"11010111", B"00010011",
2307
 B"00011000", B"11101100", B"11111110", B"00100101", B"11000111",
2308
 B"00011101", B"00000100", B"11100110", B"11011111", B"00011100",
2309
 B"00110000", B"11110000", B"11101010", B"11010101", B"11011011",
2310
 B"11101100", B"00100100", B"00101100", B"11101110", B"00011000",
2311
 B"11011010", B"11010010", B"00011101", B"00101111", B"11000111",
2312
 B"11101001", B"11101001", B"00000000", B"11000111", B"11100010",
2313
 B"00011111", B"11110100", B"11011101", B"11101111", B"00110101",
2314
 B"00011111", B"11010100", B"00000110", B"11011101", B"00010100",
2315
 B"01000100", B"11100111", B"00111000", B"00100001", B"11110101",
2316
 B"11110001", B"00101100", B"11100010", B"00100101", B"11101000",
2317
 B"11011000", B"00001000", B"00001110", B"11101000", B"00001001",
2318
 B"11111000", B"00100111", B"11101101", B"11011111", B"00001110",
2319
 B"00110101", B"00011011", B"11010110", B"00010100", B"11111011",
2320
 B"11101110", B"11001110", B"00011111", B"00011111", B"11010010",
2321
 B"00101001", B"11111000", B"11100110", B"11011001", B"00100101",
2322
 B"00000010", B"11100011", B"00110011", B"11010011", B"00101100",
2323
 B"11010010", B"11011011", B"11100010", B"00100000", B"00100011",
2324
 B"11011111", B"00010001", B"11011011", B"11001111", B"11011101",
2325
 B"00100100", B"00101100", B"00001000", B"00101110", B"11011001",
2326
 B"00011011", B"00010010", B"11100010", B"00110011", B"00010001",
2327
 B"11011001", B"00010010", B"11110100", B"11100111", B"00100001",
2328
 B"11100010", B"11100000", B"11111011", B"11001000", B"00110000",
2329
 B"11001001", B"11101000", B"00001000", B"10100110", B"11110111",
2330
 B"11110100", B"11010110", B"11010011", B"00011101", B"00000011",
2331
 B"00100101", B"11011111", B"00100111", B"11010010", B"00010101",
2332
 B"00010001", B"00011011", B"11110101", B"00011111", B"11101111",
2333
 B"11110001", B"11010000", B"00010001", B"11001100", B"11001100",
2334
 B"11011010", B"11000000", B"00010101", B"00100100", B"00111000",
2335
 B"00001111", B"00010000", B"00100101", B"11011110", B"00010100",
2336
 B"00001101", B"11011011", B"11101011", B"11111001", B"11011001",
2337
 B"11010001", B"11100100", B"11010110", B"00100001", B"00100110",
2338
 B"00111010", B"00101100", B"11011001", B"00111100", B"11011101",
2339
 B"11110101", B"11001110", B"00101100", B"00100010", B"11001000",
2340
 B"11010001", B"00010111", B"00011111", B"00101010", B"11110011",
2341
 B"00101000", B"11010110", B"00001101", B"00100010", B"00100010",
2342
 B"00100011", B"00101010", B"00010101", B"00111000", B"00110001",
2343
 B"00001101", B"00100010", B"11100010", B"00010001", B"00100000",
2344
 B"11110000", B"00001100", B"00010010", B"11101101", B"00110000",
2345
 B"11101000", B"11100110", B"00010101", B"11101111", B"11110110",
2346
 B"11000011", B"11010011", B"00011110", B"00100111", B"00111100",
2347
 B"11011001", B"00011011", B"11010011", B"00001010", B"11010010",
2348
 B"00110101", B"00011011", B"11011011", B"00011101", B"00011010",
2349
 B"11110100", B"11110110", B"00100011", B"00110110", B"00000100",
2350
 B"00000101", B"11111100", B"11111010", B"11100100", B"00010110",
2351
 B"11101010", B"00101000", B"11101100", B"11010000", B"00001101",
2352
 B"11110000", B"11100111", B"11001000", B"11001110", B"00110011",
2353
 B"11010101", B"00101000", B"00111101", B"11100110", B"00000110",
2354
 B"00011001", B"11011101", B"11101101", B"11011101", B"11010101",
2355
 B"11000100", B"00100110", B"00011011", B"00101111", B"00100000",
2356
 B"00101000", B"11011100", B"11011011", B"00100011", B"11111101",
2357
 B"11100000", B"11100011", B"11010011", B"00011010", B"00010010",
2358
 B"00101110", B"11010100", B"00010001", B"11011100", B"00110111",
2359
 B"11011100", B"00011110", B"00101000", B"11011110", B"00100001",
2360
 B"00001110", B"11011001", B"11101001", B"00110110", B"11010010",
2361
 B"01000011", B"00011010", B"11110000", B"11000101", B"11100100",
2362
 B"11111000", B"11000101", B"00000101", B"00100010", B"11101001",
2363
 B"11000100", B"00111001", B"00101001", B"11101100", B"11010100",
2364
 B"00101100", B"00010111", B"11110111", B"11100011", B"00110011",
2365
 B"01000011", B"11001110", B"11011010", B"00011100", B"11100101",
2366
 B"00100010", B"00111011", B"11010100", B"01001011", B"00010110",
2367
 B"11010010", B"00011010", B"11010000", B"11001001", B"10110010",
2368
 B"00000000", B"00011111", B"11101001", B"00011010", B"00110100",
2369
 B"11010111", B"00100010", B"00110001", B"11001011", B"01000110",
2370
 B"01000101", B"11100101", B"11100001", B"11111010", B"11011111",
2371
 B"11110000", B"00011101", B"00011010", B"00111110", B"00100111",
2372
 B"00001011", B"11010111", B"00001110", B"00010100", B"11110110",
2373
 B"00101001", B"00010110", B"11011101", B"00110111", B"11110110",
2374
 B"00100011", B"11000100", B"11001010", B"11010000", B"11110100",
2375
 B"00011101", B"00110011", B"11011111", B"00101101", B"00101101",
2376
 B"11011001", B"00100001", B"00011110", B"00001000", B"00000110",
2377
 B"00111000", B"00010000", B"00100101", B"11101110", B"00110110",
2378
 B"11110001", B"00101010", B"00100010", B"00011001", B"11101111",
2379
 B"11001101", B"00001001", B"00010110", B"11100111", B"11010111",
2380
 B"11011000", B"00100001", B"00011000", B"00010000", B"11110100",
2381
 B"11111100", B"11001110", B"00001110", B"00101100", B"00010100",
2382
 B"11110011", B"11100001", B"00100010", B"00110011", B"11111000",
2383
 B"11001101", B"00110100", B"11011010", B"11100111", B"00010111",
2384
 B"11100010", B"11110101", B"11100001", B"11101101", B"11100001",
2385
 B"01000000", B"00101001", B"11100111", B"11100110", B"11011110",
2386
 B"11110111", B"11010100", B"00000101", B"11010101", B"00111111",
2387
 B"10111101", B"11011100", B"10101111", B"00001010", B"00000110",
2388
 B"11100100", B"11110001", B"00000010", B"11101110", B"11011101",
2389
 B"11011000", B"11011101", B"11110000", B"00001010", B"00010101",
2390
 B"00011011", B"00111001", B"00010001", B"00101110", B"11100100",
2391
 B"11111111", B"11001011", B"00100001", B"11001101", B"11101101",
2392
 B"11011011", B"00010100", B"00110011", B"11010110", B"00110010",
2393
 B"00010000", B"11101101", B"00010101", B"00000101", B"11011000",
2394
 B"11100001", B"00011110", B"00011000", B"00110011", B"00011110",
2395
 B"00100100", B"00101010", B"00101111", B"00101000", B"00011001",
2396
 B"00000111", B"00000100", B"11100110", B"00011011", B"00110101",
2397
 B"11101011", B"11100000", B"00010100", B"00010011", B"00011011",
2398
 B"11011001", B"00100111", B"00011011", B"11001100", B"11011111",
2399
 B"00011001", B"11110000", B"11101000", B"11011010", B"00011010",
2400
 B"00100111", B"11111001", B"00100000", B"11001011", B"00111101",
2401
 B"11110110", B"00001100", B"00010000", B"11101000", B"01000000",
2402
 B"00101110", B"10111111", B"00010001", B"00011111", B"11100011",
2403
 B"00100111", B"00001001", B"11011101", B"11100100", B"00001011",
2404
 B"00011001", B"11010001", B"00010101", B"00100100", B"11110010",
2405
 B"00100110", B"00010011", B"11101110", B"00011110", B"11100000",
2406
 B"10111111", B"00100010", B"11100110", B"11000111", B"11101010",
2407
 B"11010000", B"11101100", B"00100001", B"00001110", B"11110011",
2408
 B"11011101", B"11011101", B"11101100", B"11110001", B"11001001",
2409
 B"11100100", B"00011001", B"11100110", B"00101100", B"11001010",
2410
 B"11110110", B"00111001", B"11011110", B"00100000", B"11010011",
2411
 B"00001100", B"11100011", B"11010001", B"00010111", B"00100111",
2412
 B"11111000", B"11010011", B"10111101", B"11101101", B"00100010",
2413
 B"00111010", B"00010000", B"00010001", B"11100110", B"11110001",
2414
 B"00110001", B"11100001", B"00000111", B"11011100", B"11001110",
2415
 B"00101101", B"00100011", B"11011111", B"11001001", B"00001110",
2416
 B"11110011", B"11000111", B"11100001", B"11111011", B"00001100",
2417
 B"11010001", B"00001010", B"00010100", B"10110100", B"00100000",
2418
 B"00101001", B"11010111", B"00001000", B"11011110", B"11010110",
2419
 B"11100110", B"11101101", B"00100110", B"11100010", B"00010111",
2420
 B"00010000", B"11101101", B"11011110", B"11101000", B"11000101",
2421
 B"00010101", B"11101101", B"00000111", B"11101010", B"00110000",
2422
 B"00001001", B"00011111", B"11100001", B"00001101", B"11100000",
2423
 B"00100101", B"00110010", B"11100001", B"11000010", B"11111001",
2424
 B"11010011", B"00100110", B"11101110", B"00000110", B"11100110",
2425
 B"00100111", B"00010001", B"00011001", B"11010101", B"00011100",
2426
 B"11010011", B"00010100", B"00010001", B"00110011", B"11001111",
2427
 B"00001111", B"00001011", B"11001100", B"11000100", B"00110111",
2428
 B"00010000", B"11011011", B"11001000", B"00111111", B"11110011",
2429
 B"11010001", B"11001111", B"11010110", B"11111100", B"00101111",
2430
 B"00100010", B"00111011", B"11110000", B"00101011", B"11100010",
2431
 B"00010100", B"11101110", B"00101110", B"00011100", B"11101100",
2432
 B"11011101", B"11100010", B"11101111", B"11101001", B"00011110",
2433
 B"00001110", B"11100111", B"11100011", B"00001111", B"00010000",
2434
 B"11000101", B"11010001", B"11100010", B"11010011", B"00100111",
2435
 B"00110001", B"00101000", B"00101101", B"11010001", B"11100011",
2436
 B"00001010", B"00001111", B"11011111", B"00101000", B"00110101",
2437
 B"11100010", B"11010010", B"00111110", B"11101100", B"00101010",
2438
 B"11100111", B"00001111", B"00011010", B"11010011", B"11100000",
2439
 B"01000011", B"11111101", B"11100100", B"11001111", B"11011010",
2440
 B"00110110", B"00011011", B"00001000", B"00011111", B"00010101",
2441
 B"00011000", B"11101111", B"11010110", B"00101010", B"00101000",
2442
 B"11011011", B"11100001", B"00011010", B"00101001", B"00010001",
2443
 B"00011100", B"00011011", B"00110100", B"11111011", B"00010010",
2444
 B"11011010", B"11011111", B"11101011", B"11100010", B"00100010",
2445
 B"00101111", B"00010000", B"00001101", B"11010000", B"11001101",
2446
 B"00010010", B"00011011", B"00001001", B"00011111", B"10111111",
2447
 B"11101100", B"00001111", B"00010101", B"00111001", B"11100110",
2448
 B"00001100", B"11101011", B"00100100", B"11100000", B"11100010",
2449
 B"00010011", B"00111101", B"00101000", B"11101111", B"00011010",
2450
 B"11100110", B"11110110", B"00011001", B"11111011", B"00010001",
2451
 B"11011010", B"00011100", B"11011000", B"00100011", B"11100100",
2452
 B"11001011", B"00010110", B"00101101", B"00011010", B"11100010",
2453
 B"00110001", B"11100101", B"00110001", B"00001111", B"11011110",
2454
 B"11010111", B"00101100", B"11011011", B"11100011", B"11100110",
2455
 B"00001100", B"11100000", B"00110010", B"11010011", B"00100001",
2456
 B"11010100", B"11101011", B"00011011", B"00110100", B"00001011",
2457
 B"11000011", B"11011000", B"11101110", B"00011011", B"00010100",
2458
 B"00010111", B"00011111", B"00001011", B"11111001", B"00011000",
2459
 B"00011100", B"11110000", B"00100001", B"00100010", B"11100011",
2460
 B"00100100", B"11000001", B"11001100", B"11011001", B"11011010",
2461
 B"00110001", B"11110000", B"00011011", B"00101100", B"00011010",
2462
 B"11101001", B"00101111", B"00011000", B"11101001", B"11100110",
2463
 B"00111101", B"00100010", B"11111000", B"11010010", B"11011010",
2464
 B"11101111", B"00100100", B"11100011", B"00001011", B"11011011",
2465
 B"01001110", B"00101100", B"11111000", B"11100000", B"11101100",
2466
 B"11110111", B"11011000", B"00101011", B"10110110", B"00000110",
2467
 B"00011001", B"11011101", B"01001000", B"00110111", B"10111011",
2468
 B"00100001", B"00001000", B"00000001", B"11110001", B"00011000",
2469
 B"11110011", B"00011010", B"11101101", B"11101110", B"00011100",
2470
 B"00100000", B"00011101", B"11100011", B"00110001", B"11101000",
2471
 B"01000111", B"00011110", B"11101000", B"00011001", B"00010110",
2472
 B"11100100", B"00110011", B"00100111", B"11010110", B"11110001",
2473
 B"11001011", B"11011010", B"00110001", B"01000100", B"11100000",
2474
 B"00100110", B"11011001", B"00100001", B"00011111", B"11100001",
2475
 B"00100101", B"00100111", B"11010111", B"10111011", B"00110100",
2476
 B"00000001", B"11011110", B"11011001", B"00010110", B"00010110",
2477
 B"11100100", B"00011010", B"11011011", B"00100101", B"11101110",
2478
 B"11110010", B"00100010", B"11000111", B"11101111", B"11110111",
2479
 B"11011000", B"11110110", B"00100000", B"00010010", B"00001101",
2480
 B"11110110", B"00011010", B"11100011", B"00101000", B"00010010",
2481
 B"11011100", B"11110001", B"00010000", B"11111110", B"11010101",
2482
 B"11001101", B"11010001", B"00010111", B"11010111", B"00001000",
2483
 B"11011111", B"11011001", B"00000100", B"11011100", B"00011000",
2484
 B"00010010", B"11101000", B"11110010", B"00011001", B"11100100",
2485
 B"11011001", B"11101001", B"11001111", B"00101101", B"00110000",
2486
 B"00010101", B"11110011", B"00011011", B"11101001", B"00000110",
2487
 B"11101101", B"00000111", B"11011101", B"11101001", B"00100111",
2488
 B"11000110", B"11100010", B"11101110", B"10110011", B"00101010",
2489
 B"00011110", B"00100100", B"11011000", B"00010001", B"11010101",
2490
 B"00011001", B"11100100", B"11011100", B"11100010", B"00011111",
2491
 B"11101010", B"00100110", B"11101110", B"11011100", B"00110000",
2492
 B"11110011", B"00100000", B"00001010", B"00110011", B"11110110",
2493
 B"00101111", B"11111010", B"00111010", B"11111100", B"11111100",
2494
 B"11101011", B"11100101", B"00011101", B"00101100", B"11111110",
2495
 B"00110110", B"11011010", B"00101111", B"00100101", B"11001011",
2496
 B"11000000", B"11101010", B"11011100", B"11110101", B"00110111",
2497
 B"00100000", B"11011000", B"11100101", B"00100010", B"00011010",
2498
 B"11010101", B"11101111", B"00011100", B"00110100", B"11010011",
2499
 B"11100110", B"00101100", B"00101000", B"11100111", B"11101010",
2500
 B"11010100", B"00100111", B"11101011", B"11101001", B"11011100",
2501
 B"00100011", B"00010111", B"11100100", B"11101001", B"11001100",
2502
 B"11010011", B"11011000", B"00101111", B"00011100", B"00011000",
2503
 B"00011000", B"11111101", B"11101100", B"00010100", B"00111000",
2504
 B"00100000", B"00000101", B"11110001", B"11101011", B"11010110",
2505
 B"00010110", B"00011000", B"11101011", B"11011101", B"11101010",
2506
 B"11001111", B"11010010", B"11100000", B"10111101", B"00011000",
2507
 B"11101111", B"00011010", B"11011110", B"11010011", B"00110100",
2508
 B"11101010", B"11101100", B"11101001", B"11111101", B"00001001",
2509
 B"00101101", B"00000101", B"00011101", B"00100001", B"00100001",
2510
 B"11100100", B"00110101", B"00001001", B"11011011", B"11101111",
2511
 B"00101111", B"11101000", B"11101101", B"00100001", B"11100011",
2512
 B"00010111", B"11101000", B"11010001", B"00010110", B"00011110",
2513
 B"00011000", B"11000010", B"00001011", B"00110101", B"10110101",
2514
 B"11011000", B"00101100", B"11011100", B"00011110", B"11101000",
2515
 B"00110000", B"11001110", B"00000010", B"01000011", B"00101110",
2516
 B"00110010", B"11110000", B"00110011", B"00010010", B"11010100",
2517
 B"00100101", B"00011011", B"11100000", B"11010100", B"00011001",
2518
 B"00101000", B"00101011", B"11000101", B"00101010", B"11001001",
2519
 B"00001010", B"00010101", B"00100001", B"00000111", B"00011111",
2520
 B"00110111", B"00110110", B"00010110", B"00000110", B"00100011",
2521
 B"00010010", B"00010000", B"00101001", B"00100011", B"00100001",
2522
 B"00100001", B"00001100", B"11100010", B"11110011", B"00011100",
2523
 B"00010000", B"00011000", B"00110000", B"11001101", B"11000101",
2524
 B"11110001", B"00100111", B"11100101", B"10111011", B"10111101",
2525
 B"00101000", B"00010101", B"11001101", B"00101011", B"11011011",
2526
 B"00011010", B"00001111", B"10111101", B"00100000", B"00011001",
2527
 B"11001101", B"00100011", B"00010000", B"11010111", B"11011001",
2528
 B"00110010", B"00010110", B"11110101", B"11001100", B"00100010",
2529
 B"11100011", B"00101000", B"00011001", B"11010111", B"00101000",
2530
 B"00011010", B"11011000", B"11111010", B"11111001", B"00011011",
2531
 B"11100001", B"11010110", B"11111110", B"11101111", B"11011010",
2532
 B"11110100", B"00011011", B"00110111", B"11010010", B"11010101",
2533
 B"11011010", B"11110100", B"11011010", B"00100010", B"00011111",
2534
 B"11010101", B"11011111", B"00011010", B"00000101", B"11111110",
2535
 B"10111001", B"11011000", B"00011111", B"00100100", B"11100101",
2536
 B"11011110", B"11010101", B"11110100", B"11001011", B"00010001",
2537
 B"11101010", B"11100100", B"00101111", B"11111001", B"11000110",
2538
 B"11000100", B"11100111", B"11100000", B"11100010", B"11011110",
2539
 B"11101010", B"00101010", B"00100000", B"00101001", B"00010111",
2540
 B"11101100", B"00110100", B"11011100", B"11101110", B"11101011",
2541
 B"00100001", B"00110000", B"11011111", B"00101000", B"00011101",
2542
 B"00111000", B"11101011", B"00110011", B"11110110", B"00100001",
2543
 B"11000010", B"11010100", B"00110111", B"11100100", B"11011101",
2544
 B"11011000", B"00100000", B"00011001", B"11100010", B"00010001",
2545
 B"00101101", B"00101110", B"00110000", B"00100010", B"00100111",
2546
 B"00100010", B"00100110", B"00011001", B"11001101", B"11011000",
2547
 B"00000111", B"11100110", B"11100000", B"11100011", B"11100111",
2548
 B"00010110", B"11101011", B"00101100", B"10111101", B"11010100",
2549
 B"11110111", B"00010011", B"00101001", B"00011011", B"11100001",
2550
 B"11001010", B"11100100", B"11100111", B"00100101", B"11100011",
2551
 B"00011011", B"00000111", B"11110011", B"00100101", B"11111001",
2552
 B"11101011", B"11100001", B"00110011", B"00100111", B"11010110",
2553
 B"11100101", B"00001010", B"11001001", B"00100011", B"11010111",
2554
 B"11100000", B"00011011", B"00011001", B"00011101", B"11100000",
2555
 B"11101101", B"00010100", B"00110110", B"11101011", B"11100001",
2556
 B"00110011", B"11010101", B"11101001", B"00010001", B"11110110",
2557
 B"11001110", B"11011101", B"11011010", B"11100101", B"11001101",
2558
 B"00010110", B"00100111", B"00100101", B"00010100", B"11101000",
2559
 B"11010111", B"11010011", B"11101111", B"00010101", B"11001111",
2560
 B"11011010", B"11110001", B"11101010", B"00000001", B"11011110",
2561
 B"11011001", B"11100011", B"00101001", B"00011011", B"11001100",
2562
 B"00010010", B"11010001", B"11101010", B"11001101", B"11101111",
2563
 B"11111000", B"00100101", B"00010111", B"00100011", B"00000111",
2564
 B"11101101", B"11010111", B"00101001", B"11101010", B"00001101",
2565
 B"11110110", B"11011011", B"00011001", B"00110000", B"11100001",
2566
 B"11100100", B"00100110", B"11011101", B"11111010", B"11101101",
2567
 B"11101010", B"11101010", B"00010000", B"11100110", B"00001100",
2568
 B"11011011", B"11011000", B"01000000", B"00010110", B"00001111",
2569
 B"11110100", B"00110000", B"11010011", B"11100111", B"11110010",
2570
 B"00011111", B"00011101", B"11011011", B"00010011", B"00001100",
2571
 B"00011111", B"11111010", B"00100100", B"11011111", B"00011001",
2572
 B"11110100", B"00001000", B"11110110", B"00100010", B"11001101",
2573
 B"11100001", B"00110110", B"00101000", B"00011011", B"00011100",
2574
 B"00011001", B"00110000", B"00011101", B"00001001", B"00111010",
2575
 B"00000011", B"11010111", B"00110010", B"11010001", B"11011111",
2576
 B"11101010", B"00110100", B"00100110", B"11011010", B"11011001",
2577
 B"11100100", B"00001100", B"00011100", B"00010111", B"00011110",
2578
 B"11010010", B"11011100", B"11101010", B"00001000", B"00010101",
2579
 B"00100110", B"11110100", B"00101100", B"11010100", B"00110010",
2580
 B"11101000", B"11010010", B"11110001", B"11010101", B"00110111",
2581
 B"00011100", B"00100101", B"00011010", B"00111011", B"11011010",
2582
 B"00101100", B"11011001", B"11011010", B"11100011", B"00101010",
2583
 B"00010001", B"00011111", B"11110000", B"11010010", B"11100100",
2584
 B"11110101", B"00010111", B"11000100", B"00111001", B"11100101",
2585
 B"00011000", B"11101011", B"11010011", B"11110110", B"00100101",
2586
 B"00101000", B"11100000", B"00001001", B"11101001", B"00010100",
2587
 B"11101111", B"11010011", B"11101111", B"00101000", B"00011111",
2588
 B"10111111", B"11110011", B"00010011", B"11011101", B"00110011",
2589
 B"11010010", B"11011110", B"00011101", B"11100010", B"11001101",
2590
 B"11100001", B"00011000", B"00001111", B"11100011", B"00100101",
2591
 B"11100110", B"01001101", B"11110100", B"00100111", B"11100011",
2592
 B"11011001", B"11011110", B"00010101", B"00110010", B"11010110",
2593
 B"00100101", B"00000110", B"00010111", B"11101100", B"00011000",
2594
 B"11100001", B"00001101", B"00100010", B"00100111", B"11011111",
2595
 B"11011100", B"00101001", B"00101101", B"00000000", B"11010101",
2596
 B"11010010", B"11011011", B"00111100", B"11011011", B"00110110",
2597
 B"11100001", B"11001110", B"00111011", B"11011111", B"00001100",
2598
 B"11100001", B"00011111", B"00101110", B"11111000", B"00101101",
2599
 B"11100101", B"00101110", B"11010111", B"10111100", B"00000101",
2600
 B"11011111", B"11011111", B"11001011", B"11011001", B"11010000",
2601
 B"11011111", B"00001011", B"00100001", B"00110010", B"00101010",
2602
 B"11101000", B"11011000", B"11011110", B"11100011", B"11001100",
2603
 B"00110100", B"00001000", B"11011110", B"00100011", B"11100010",
2604
 B"00100001", B"00100010", B"00110000", B"00100001", B"00011001",
2605
 B"00010100", B"00100000", B"00011101", B"11101101", B"00101000",
2606
 B"11110100", B"11100110", B"11101000", B"00100100", B"00011001",
2607
 B"11100000", B"11100011", B"00100101", B"11010001", B"11111100",
2608
 B"11001101", B"00011101", B"00010110", B"11011010", B"00001011",
2609
 B"00100010", B"00110001", B"00100101", B"00010101", B"11111010",
2610
 B"00010100", B"00011110", B"00101001", B"00011100", B"00010011",
2611
 B"11100001", B"00001111", B"11011111", B"00011111", B"11100101",
2612
 B"00110111", B"00101100", B"11001011", B"11010111", B"00011111",
2613
 B"00100101", B"11011001", B"11100101", B"11010011", B"11110011",
2614
 B"00001110", B"11100110", B"00011010", B"11101110", B"11010001",
2615
 B"00011010", B"00011110", B"00110111", B"11110101", B"11011100",
2616
 B"00000111", B"00110001", B"11101001", B"00000101", B"11110011",
2617
 B"11100101", B"11100011", B"11011111", B"00100000", B"00110010",
2618
 B"00011110", B"00110000", B"11100000", B"00011101", B"00110111",
2619
 B"00001111", B"11101001", B"00100010", B"11010110", B"00010110",
2620
 B"11101101", B"11010010", B"00100011", B"11010110", B"00101011",
2621
 B"11011000", B"11100011", B"00110101", B"11011011", B"00011100",
2622
 B"00001101", B"11010000", B"11101001", B"11101000", B"11110001",
2623
 B"11010011", B"11011101", B"11101100", B"11011110", B"00000001",
2624
 B"00110101", B"11011101", B"00011110", B"11101011", B"11001010",
2625
 B"11101001", B"00101110", B"00100011", B"00110010", B"00101010",
2626
 B"11001010", B"11001100", B"00101110", B"11101111", B"00011101",
2627
 B"00000111", B"11100110", B"00111010", B"00101000", B"11110001",
2628
 B"00011001", B"11011100", B"11100100", B"11100011", B"11011001",
2629
 B"00001100", B"11011001", B"00011010", B"00101011", B"00011011",
2630
 B"11101000", B"00110001", B"00011010", B"11110000", B"11110110",
2631
 B"00000111", B"00010111", B"00100010", B"11100100", B"00010100",
2632
 B"00011001", B"11110011", B"11100110", B"00001110", B"11110000",
2633
 B"00100100", B"00110101", B"11010110", B"11011100", B"11010110",
2634
 B"11010011", B"11010101", B"00101101", B"11011110", B"11011011",
2635
 B"11100000", B"11011101", B"00010100", B"11001100", B"00100010",
2636
 B"11100000", B"11011111", B"00011010", B"11011010", B"01000111",
2637
 B"11110001", B"11100101", B"00010110", B"00100110", B"11001000",
2638
 B"00101100", B"11100100", B"11100001", B"11001110", B"11111101",
2639
 B"00100110", B"00100100", B"11111110", B"00100110", B"00001110",
2640
 B"11101000", B"00110101", B"00101110", B"11101010", B"00100011",
2641
 B"00110100", B"11011000", B"00100010", B"00101101", B"11010011",
2642
 B"11000111", B"00100011", B"11010111", B"00011001", B"00011100",
2643
 B"00011100", B"11101100", B"00110000", B"11011010", B"11110110",
2644
 B"11101101", B"00001101", B"00001100", B"00101000", B"00011110",
2645
 B"00101001", B"00010101", B"00010101", B"11100010", B"00001100",
2646
 B"00011110", B"11100001", B"11010110", B"11010011", B"11110111",
2647
 B"11001100", B"11101010", B"00101111", B"11110010", B"11100010",
2648
 B"11010101", B"00100000", B"00001000", B"11011001", B"00100010",
2649
 B"11101011", B"11100001", B"00110100", B"11001000", B"11101001",
2650
 B"11000011", B"11010001", B"11100110", B"11011110", B"11010010",
2651
 B"00101010", B"00001111", B"11001011", B"00011101", B"11101101",
2652
 B"00100001", B"11100101", B"00101011", B"00101100", B"11100110",
2653
 B"00100100", B"00010110", B"11010110", B"00110110", B"00010011",
2654
 B"11010101", B"11100101", B"00111001", B"00010111", B"11101000",
2655
 B"00000001", B"11100110", B"11101101", B"00011100", B"00100110",
2656
 B"00100110", B"00101101", B"11101000", B"11001010", B"00101000",
2657
 B"00001111", B"00010001", B"11101010", B"00100111", B"11011011",
2658
 B"00001000", B"11100101", B"00011001", B"11011100", B"11011110",
2659
 B"11111010", B"11101111", B"00110001", B"11011010", B"11111111",
2660
 B"00100110", B"00101100", B"00010101", B"01000011", B"00101011",
2661
 B"01000010", B"00110100", B"00001011", B"11100010", B"00010011",
2662
 B"01000100", B"11101000", B"11100011", B"11001000", B"11100011",
2663
 B"11101101", B"11011010", B"11010001", B"00110000", B"11011100",
2664
 B"00101111", B"11000111", B"11000001", B"00110000", B"11111111",
2665
 B"00101000", B"00101000", B"00010000", B"01000101", B"00100001",
2666
 B"00010010", B"00100000", B"11110111", B"11100011", B"11110010",
2667
 B"11010011", B"00101100", B"00101000", B"00100011", B"00101110",
2668
 B"00011001", B"00010111", B"11010100", B"11101111", B"00000110",
2669
 B"00100101", B"11011001", B"11101001", B"11011001", B"00101000",
2670
 B"00100101", B"00101101", B"11110101", B"00111010", B"11010101",
2671
 B"11110100", B"11100011", B"00010101", B"11100001", B"11010100",
2672
 B"11100011", B"00101101", B"00101111", B"11100011", B"00100001",
2673
 B"00001101", B"00111010", B"11011110", B"00110110", B"11100000",
2674
 B"00101001", B"11110101", B"00101010", B"11110101", B"00100011",
2675
 B"11011100", B"11101110", B"11010010", B"00101001", B"00000000",
2676
 B"00101001", B"00101010", B"00100000", B"11110011", B"11111011",
2677
 B"11001010", B"00101011", B"11010110", B"11110011", B"11110110",
2678
 B"11011001", B"01000001", B"00111001", B"11110010", B"00110100",
2679
 B"10111000", B"11100110", B"11010111", B"00001110", B"00001111",
2680
 B"00100001", B"00110101", B"11011001", B"11101111", B"00100101",
2681
 B"10110111", B"00110100", B"11010001", B"11101100", B"11100000",
2682
 B"00101110", B"00001100", B"11000101", B"11010111", B"11110011",
2683
 B"00101011", B"00100101", B"11110110", B"00011100", B"11010100",
2684
 B"00001111", B"00000000", B"11100111", B"00110011", B"11010011",
2685
 B"11101110", B"11010001", B"11100110", B"00110100", B"00101000",
2686
 B"11100000", B"11100010", B"00100001", B"00101000", B"11011110",
2687
 B"00000100", B"00000010", B"11101011", B"00100000", B"00001100",
2688
 B"11110010", B"00011000", B"00111110", B"11001110", B"00010011",
2689
 B"11110010", B"00111100", B"11101000", B"11011100", B"11011101",
2690
 B"00000001", B"00110101", B"00001110", B"11010111", B"11010110",
2691
 B"11001110", B"11111101", B"00011010", B"00100000", B"00001110",
2692
 B"00000101", B"11110101", B"11100101", B"00010100", B"11101100",
2693
 B"11011111", B"00001100", B"11101001", B"00101001", B"11100100",
2694
 B"00100100", B"11011010", B"11011110", B"11011101", B"00001001",
2695
 B"00001000", B"00110000", B"00011111", B"11011000", B"10101101",
2696
 B"00011110", B"00000111", B"11110011", B"11110110", B"11010101",
2697
 B"00001001", B"11011100", B"11011011", B"11101110", B"00000001",
2698
 B"00101000", B"11010001", B"00010110", B"11011110", B"00010111",
2699
 B"00101011", B"11111101", B"00110100", B"00101001", B"11010010",
2700
 B"11110010", B"11011100", B"11111100", B"00100111", B"00111001",
2701
 B"11101001", B"00110001", B"11101010", B"00011000", B"00000001",
2702
 B"11110001", B"00011111", B"11011000", B"11011110", B"11011010",
2703
 B"11110011", B"11010000", B"11100111", B"00011101", B"00011110",
2704
 B"00001110", B"00011000", B"11100110", B"11010101", B"11010000",
2705
 B"00100111", B"11101011", B"00001111", B"10111001", B"11110101",
2706
 B"00000010", B"00100001", B"00011010", B"11100010", B"11010101",
2707
 B"11000011", B"11010111", B"00101101", B"11011010", B"00110000",
2708
 B"11011010", B"11011100", B"11010100", B"11010100", B"00111100",
2709
 B"00010100", B"00000111", B"00010101", B"11100100", B"11110000",
2710
 B"00101010", B"00101010", B"00100010", B"00110110", B"11000100",
2711
 B"11100000", B"00100110", B"00100110", B"11100101", B"11110010",
2712
 B"00011010", B"00011011", B"11010111", B"11000101", B"11001101",
2713
 B"00110000", B"11100001", B"11111001", B"11000000", B"00110000",
2714
 B"01000111", B"11100011", B"11010100", B"11010010", B"00100010",
2715
 B"11110111", B"00011010", B"11100100", B"11001001", B"00100101",
2716
 B"11010110", B"11100100", B"00111010", B"11010111", B"00011111",
2717
 B"11100011", B"11101001", B"00010010", B"11010110", B"00001111",
2718
 B"11000100", B"11111010", B"11010100", B"00000110", B"00011111",
2719
 B"11011010", B"11100110", B"00011101", B"11111001", B"11111001",
2720
 B"11010011", B"00101010", B"00100101", B"11101100", B"11011101",
2721
 B"11011000", B"00100000", B"00001110", B"00110111", B"00110000",
2722
 B"11010011", B"11011001", B"00101110", B"11111100", B"00110100",
2723
 B"11001111", B"11010111", B"11010010", B"00011000", B"00111001",
2724
 B"00101011", B"00000100", B"00001110", B"11011011", B"00100111",
2725
 B"11010011", B"00100010", B"11101110", B"11111001", B"00001010",
2726
 B"00001100", B"00010010", B"10111100", B"00010111", B"11101000",
2727
 B"00101010", B"00000010", B"11100101", B"00011011", B"11001101",
2728
 B"00010111", B"11101100", B"11101001", B"00011010", B"11001111",
2729
 B"11100100", B"00110111", B"00100100", B"00011011", B"00100000",
2730
 B"11011000", B"00000100", B"11010011", B"11011110", B"00011101",
2731
 B"01000001", B"00100011", B"00101001", B"11011100", B"11011100",
2732
 B"00011010", B"00100111", B"00001011", B"11011101", B"00100101",
2733
 B"11100011", B"00001010", B"00000001", B"00000110", B"11100100",
2734
 B"00110111", B"11110000", B"00001111", B"11000000", B"11100011",
2735
 B"00000010", B"11010010", B"11100011", B"11101100", B"01000001",
2736
 B"00100000", B"11011100", B"00011010", B"11101001", B"00000001",
2737
 B"11110111", B"00010001", B"11010011", B"11010111", B"11010111",
2738
 B"00100001", B"00111001", B"00100101", B"11010011", B"11100110",
2739
 B"00101001", B"11100010", B"11101111", B"11111110", B"11100011",
2740
 B"00100100", B"00101001", B"10110010", B"00101000", B"00101010",
2741
 B"11001111", B"11110011", B"00101011", B"11000011", B"00100011",
2742
 B"00110010", B"00010110", B"11100001", B"00011101", B"11010010",
2743
 B"00110110", B"11010100", B"11110110", B"00011110", B"00011100",
2744
 B"00100010", B"00101000", B"11100111", B"11011001", B"11101010",
2745
 B"11100010", B"00100100", B"11001000", B"00000110", B"11010110",
2746
 B"11010101", B"00110101", B"00000111", B"11110011", B"00010110",
2747
 B"11001100", B"11011010", B"11100000", B"00100010", B"00011010",
2748
 B"11101111", B"00001110", B"00100100", B"11011010", B"11101011",
2749
 B"11101011", B"11100001", B"11100111", B"00001000", B"11111011",
2750
 B"11100101", B"00101010", B"11101110", B"11011110", B"11001010",
2751
 B"11101001", B"11100000", B"00101001", B"00001100", B"00100011",
2752
 B"11100001", B"00011111", B"11100111", B"00100110", B"11100010",
2753
 B"00110001", B"11010010", B"11001010", B"11100000", B"00010101",
2754
 B"00101110", B"11011100", B"00010110", B"11011100", B"11111100",
2755
 B"00110001", B"11100001", B"00111010", B"00101100", B"11101001",
2756
 B"00011110", B"11111011", B"00111001", B"11011110", B"00000101",
2757
 B"10111111", B"00101100", B"11110111", B"00001110", B"11101010",
2758
 B"00000010", B"00010111", B"11101100", B"00110001", B"00101101",
2759
 B"11100100", B"11001101", B"11101010", B"00000111", B"11010110",
2760
 B"00110100", B"00110000", B"00010010", B"00111010", B"10110100",
2761
 B"11011001", B"11100011", B"00100001", B"00100101", B"11100111",
2762
 B"00111000", B"11101000", B"11011000", B"00001111", B"00101100",
2763
 B"00100111", B"11101000", B"00011110", B"11111000", B"00101001",
2764
 B"11100101", B"00101110", B"00111110", B"00010010", B"11001011",
2765
 B"00001001", B"11100011", B"00110100", B"00100011", B"00011000",
2766
 B"01000001", B"00110110", B"00000011", B"00100110", B"00011001",
2767
 B"00010110", B"00100010", B"00000100", B"00011011", B"11010110",
2768
 B"00100110", B"10111100", B"00001011", B"11011010", B"11001101",
2769
 B"11100010", B"00001110", B"00101101", B"00011001", B"00100001",
2770
 B"10110001", B"11001000", B"11111000", B"11101111", B"00011110",
2771
 B"00011001", B"00100100", B"00000111", B"11010000", B"11010100",
2772
 B"00011000", B"11100001", B"00101011", B"11001010", B"11110011",
2773
 B"11011010", B"00011100", B"00100010", B"11101010", B"00100110",
2774
 B"00100011", B"11100100", B"11100110", B"11000111", B"00000111",
2775
 B"11011011", B"11001011", B"00100110", B"00000011", B"11100011",
2776
 B"11001011", B"11110001", B"11101000", B"11010011", B"11011011",
2777
 B"11110000", B"00111001", B"11101110", B"11101101", B"11010110",
2778
 B"11011010", B"01000000", B"00101101", B"00101110", B"00001101",
2779
 B"01000101", B"00011111", B"00100000", B"00100000", B"00011110",
2780
 B"01000011", B"00010011", B"11001110", B"00101010", B"00110010",
2781
 B"11011000", B"11001010", B"00101111", B"11101000", B"00001000",
2782
 B"00100011", B"11010000", B"11011110", B"11101001", B"11001011",
2783
 B"11100010", B"00001011", B"00110110", B"00001100", B"11001011",
2784
 B"00000000", B"11101110", B"00011101", B"11000100", B"11110011",
2785
 B"00101001", B"00010000", B"11101111", B"11011010", B"11000011",
2786
 B"11011010", B"11110110", B"00011001", B"11011011", B"11011101",
2787
 B"11101000", B"11011001", B"00100100", B"11000010", B"00000010",
2788
 B"11001111", B"11001010", B"11011111", B"11011111", B"00011110",
2789
 B"00011111", B"00011111", B"00000111", B"11010111", B"11110000",
2790
 B"00010100", B"11011001", B"00101000", B"11100111", B"11011000",
2791
 B"00011110", B"00101101", B"00011100", B"00110100", B"11100110",
2792
 B"00010101", B"11100011", B"00000011", B"11010001", B"00011100",
2793
 B"00101011", B"11001110", B"00011001", B"00011100", B"11101010",
2794
 B"11010110", B"00100000", B"00010101", B"00110101", B"11001111",
2795
 B"11100010", B"00001111", B"00101110", B"11101001", B"11001111",
2796
 B"00011011", B"00100011", B"11101011", B"00011000", B"00100111",
2797
 B"11101100", B"11110000", B"00011001", B"00101110", B"00100000",
2798
 B"00011001", B"11011000", B"00101000", B"11011100", B"00101101",
2799
 B"11011111", B"00100001", B"11101010", B"11010100", B"00000001",
2800
 B"11101110", B"11100010", B"11100100", B"11101111", B"11101111",
2801
 B"11110000", B"11110110", B"11100110", B"00010110", B"00011001",
2802
 B"00011110", B"00101111", B"00101110", B"00010101", B"11110011",
2803
 B"00001001", B"00100111", B"11110001", B"11111001", B"00000111",
2804
 B"00011111", B"00101000", B"00110001", B"11010101", B"00000100",
2805
 B"11010100", B"00001000", B"11100000", B"11011111", B"00101110",
2806
 B"00001110", B"00100100", B"11100111", B"00100111", B"11111001",
2807
 B"00011000", B"11100110", B"00101101", B"11011111", B"11001000",
2808
 B"11010101", B"00011001", B"11111011", B"11011010", B"11110011",
2809
 B"00100011", B"11011101", B"00100011", B"11100101", B"11100011",
2810
 B"00101011", B"00101101", B"00100100", B"11110001", B"00100101",
2811
 B"00110110", B"10111110", B"00111010", B"00001001", B"11111001",
2812
 B"11100100", B"00011100", B"00011101", B"11011100", B"11100110",
2813
 B"11111000", B"11011100", B"11011100", B"00100100", B"11001011",
2814
 B"01000011", B"00101011", B"11100010", B"00010100", B"00100011",
2815
 B"11100000", B"11010111", B"11110011", B"11100101", B"00011111",
2816
 B"00100111", B"11101100", B"00100001", B"11100110", B"11010101",
2817
 B"00101111", B"11100110", B"00010011", B"11010001", B"11100111",
2818
 B"00111100", B"00001001", B"10111010", B"00001000", B"00010101",
2819
 B"00111011", B"11110101", B"00100000", B"11111100", B"00110001",
2820
 B"11101110", B"11100000", B"00101011", B"00011010", B"00001111",
2821
 B"00010011", B"11001011", B"11111001", B"00010100", B"00101011",
2822
 B"11100100", B"00110101", B"00110110", B"11100010", B"11111000",
2823
 B"00101111", B"00000111", B"11100101", B"00101000", B"00111000",
2824
 B"00000001", B"00001011", B"11001101", B"11100111", B"00101000",
2825
 B"11010101", B"00010111", B"11101100", B"11100001", B"11100110",
2826
 B"00001100", B"00101011", B"11011011", B"11011000", B"00101010",
2827
 B"00100101", B"00010000", B"00100101", B"11101100", B"11000111",
2828
 B"11010110", B"11001110", B"11110111", B"11101000", B"00011111",
2829
 B"00111010", B"00110000", B"00010100", B"00100010", B"11011001",
2830
 B"00110101", B"00010100", B"11001011", B"00010101", B"00101010",
2831
 B"11001111", B"11010011", B"01001001", B"11011011", B"11101101",
2832
 B"11110010", B"00100110", B"00010001", B"11101101", B"00011101",
2833
 B"00011110", B"00100111", B"00001000", B"00100001", B"00011110",
2834
 B"00000001", B"00100011", B"00010100", B"11011000", B"00011001",
2835
 B"11011100", B"11101011", B"11001101", B"00011000", B"00110100",
2836
 B"00100101", B"11001010", B"11010101", B"11001110", B"11011001",
2837
 B"01000000", B"11010000", B"00101001", B"00011101", B"00001110",
2838
 B"00100001", B"11011111", B"00110110", B"11010000", B"00111000",
2839
 B"11110111", B"00101100", B"11010110", B"11100101", B"00111000",
2840
 B"11011010", B"11110111", B"11011110", B"11011011", B"00001001",
2841
 B"11101011", B"00100010", B"11010100", B"11100000", B"11100111",
2842
 B"00010010", B"00110010", B"00101101", B"11001011", B"11100010",
2843
 B"00100011", B"11110100", B"11011001", B"11010100", B"11011011",
2844
 B"11011001", B"00010110", B"11001111", B"11111101", B"11100010",
2845
 B"00101101", B"00101011", B"11100111", B"11011010", B"11100101",
2846
 B"11010100", B"11111010", B"00001100", B"00100000", B"00001100",
2847
 B"00110100", B"11100111", B"11010001", B"00001110", B"11111100",
2848
 B"00100101", B"11110100", B"11100000", B"00011001", B"00011010",
2849
 B"11110000", B"11110000", B"11100010", B"11111011", B"00100000",
2850
 B"00000111", B"00101010", B"00000110", B"00011111", B"11101000",
2851
 B"00001001", B"11101011", B"11011001", B"00110101", B"01000111",
2852
 B"00101000", B"11101100", B"11010111", B"00011010", B"11100011",
2853
 B"11110011", B"11001100", B"11100100", B"00010110", B"00011011",
2854
 B"11001011", B"11100100", B"00000111", B"00100001", B"11101101",
2855
 B"11000101", B"00010000", B"00110111", B"00011010", B"00001001",
2856
 B"00110000", B"00010011", B"00100101", B"00010010", B"00010001",
2857
 B"00101010", B"00101011", B"11110101", B"00001011", B"11101101",
2858
 B"00010001", B"11001100", B"00011111", B"11101101", B"00100101",
2859
 B"11011111", B"11010111", B"11100001", B"00110100", B"00010111",
2860
 B"11100111", B"00000110", B"01001110", B"11100110", B"11010111",
2861
 B"11011110", B"11101000", B"11011001", B"11000011", B"00011101",
2862
 B"00100011", B"11100100", B"11110010", B"11010111", B"11010110",
2863
 B"11101100", B"11101011", B"00100000", B"00101111", B"11011000",
2864
 B"11111000", B"10101010", B"11100000", B"11100000", B"00011111",
2865
 B"00011101", B"00011011", B"11101001", B"00001111", B"11101110",
2866
 B"00001111", B"11011100", B"00000001", B"11101101", B"00011011",
2867
 B"00010111", B"00010111", B"00001110", B"11101100", B"11101011",
2868
 B"11101001", B"00101110", B"11010010", B"00011110", B"11101001",
2869
 B"11101000", B"00111000", B"00011101", B"01000000", B"11101100",
2870
 B"11011011", B"00111001", B"11010100", B"11001001", B"11100011",
2871
 B"11100011", B"00100010", B"00010011", B"11010100", B"11100111",
2872
 B"00010111", B"00111110", B"11010101", B"11000111", B"11000101",
2873
 B"11011100", B"11100001", B"11000111", B"00101011", B"00010001",
2874
 B"00001110", B"00011100", B"00010011", B"00011011", B"11011001",
2875
 B"00010011", B"00110111", B"11110100", B"11010001", B"00100000",
2876
 B"11001101", B"00011110", B"00100011", B"11001110", B"11101000",
2877
 B"11100110", B"11101101", B"11011011", B"11001111", B"11100110",
2878
 B"11011010", B"00000110", B"00100000", B"11100010", B"00110100",
2879
 B"11011001", B"11001011", B"11101001", B"11010110", B"11011111",
2880
 B"00100010", B"00011000", B"00100111", B"00100101", B"00101100",
2881
 B"00100000", B"00110100", B"00010110", B"00100110", B"00101000",
2882
 B"01000001", B"00001110", B"11100010", B"00001110", B"11100000",
2883
 B"11010100", B"11010110", B"00010100", B"00110111", B"11100001",
2884
 B"00001110", B"11001111", B"11110110", B"00010101", B"11010010",
2885
 B"11011110", B"11010011", B"11100011", B"11100011", B"00101110",
2886
 B"00100101", B"11010101", B"00010000", B"11010000", B"11010101",
2887
 B"10110111", B"11011011", B"11011111", B"00111011", B"11101110",
2888
 B"00101001", B"11100001", B"11001101", B"00110100", B"00001110",
2889
 B"00001110", B"11101100", B"11010110", B"00010100", B"00100010",
2890
 B"11010111", B"11111001", B"00010011", B"00011101", B"11100110",
2891
 B"00010111", B"00101100", B"11101100", B"11111010", B"00010110",
2892
 B"11010110", B"10110011", B"00101101", B"00010001", B"00001110",
2893
 B"00100011", B"11101100", B"11101011", B"11110101", B"11011000",
2894
 B"00011010", B"11011111", B"00110001", B"11011011", B"11010100",
2895
 B"00101000", B"11101011", B"01000011", B"00100110", B"00010011",
2896
 B"11100111", B"00100110", B"11011000", B"00010110", B"00101111",
2897
 B"00001111", B"00101110", B"11100110", B"00101100", B"11110001",
2898
 B"00011111", B"11101001", B"00011011", B"00011001", B"11101111",
2899
 B"11011000", B"00111111", B"00010110", B"11110010", B"11101000",
2900
 B"00100011", B"11100111", B"00100100", B"11001111", B"11100011",
2901
 B"11011000", B"00101000", B"00100010", B"00010001", B"11111100",
2902
 B"11100101", B"00110010", B"11010001", B"11011110", B"11101011",
2903
 B"00001000", B"11100001", B"00011110", B"00010000", B"11001010",
2904
 B"11100001", B"11110011", B"11100100", B"11000111", B"00010101",
2905
 B"11011110", B"11101100", B"11011011", B"11001110", B"00000000",
2906
 B"11011011", B"00010100", B"11011100", B"00101000", B"11101001",
2907
 B"00001111", B"11101110", B"11011000", B"00010001", B"00101001",
2908
 B"00011101", B"00101111", B"11011011", B"00011001", B"00011111",
2909
 B"11011101", B"11100011", B"00100010", B"11011011", B"00100011",
2910
 B"00010011", B"00100110", B"10110110", B"00110001", B"11100000",
2911
 B"00011110", B"00110100", B"11010010", B"00011001", B"00011110",
2912
 B"11101101", B"00000001", B"00110010", B"11100100", B"11011100",
2913
 B"11010101", B"11100100", B"11100101", B"00110110", B"00011000",
2914
 B"00100010", B"00101101", B"00100011", B"00101010", B"11101010",
2915
 B"00101000", B"00010010", B"11101111", B"11010011", B"00001110",
2916
 B"00100000", B"00100001", B"00011100", B"00101001", B"00000101",
2917
 B"01010100", B"00000011", B"00011001", B"00011110", B"00011101",
2918
 B"11100101", B"11100110", B"00111000", B"00011011", B"11101110",
2919
 B"11110011", B"11110110", B"00110010", B"11011000", B"00001111",
2920
 B"11110001", B"11010101", B"00011110", B"00001111", B"11111101",
2921
 B"00101100", B"11010101", B"00100000", B"11011011", B"11010110",
2922
 B"00011010", B"00100111", B"11100001", B"11100010", B"00001110",
2923
 B"11010000", B"00100111", B"11001110", B"11101000", B"00001111",
2924
 B"00010100", B"11001110", B"11001110", B"11110010", B"11010110",
2925
 B"00100110", B"11100110", B"00110110", B"11011010", B"00010110",
2926
 B"11100000", B"11110111", B"11010001", B"00100011", B"00111100",
2927
 B"11010000", B"00100001", B"00100000", B"11100001", B"11101000",
2928
 B"00010110", B"00011001", B"11100101", B"11011010", B"00101100",
2929
 B"11100101", B"01000111", B"00001001", B"11001101", B"00101001",
2930
 B"00100110", B"11010101", B"11101110", B"11110111", B"11011101",
2931
 B"11010101", B"00011101", B"00110001", B"00100101", B"00010101",
2932
 B"11001111", B"11100111", B"11100110", B"00100101", B"00011000",
2933
 B"11111001", B"00011110", B"11100000", B"00001001", B"00000111",
2934
 B"11010111", B"11100011", B"11101010", B"00100011", B"11100000",
2935
 B"00101101", B"00110001", B"11010110", B"11011001", B"11110010",
2936
 B"11101110", B"00100001", B"11010100", B"00100011", B"00100111",
2937
 B"00000110", B"11100101", B"00001100", B"00000010", B"11100010",
2938
 B"11101101", B"00111100", B"00101010", B"00010010", B"11011010",
2939
 B"11001110", B"00011010", B"00111011", B"11010000", B"11011100",
2940
 B"00001110", B"11101011", B"11011110", B"11011000", B"11101010",
2941
 B"00011100", B"11100110", B"11111010", B"11100100", B"10101101",
2942
 B"00110010", B"00110111", B"00010010", B"00101110", B"11100100",
2943
 B"11111110", B"00110100", B"00100111", B"11011100", B"00100111",
2944
 B"00010110", B"11001111", B"11011001", B"00110100", B"00101000",
2945
 B"11111000", B"00101101", B"11010011", B"11001101", B"11000100",
2946
 B"00011111", B"00100101", B"11100011", B"00000100", B"11011011",
2947
 B"00010101", B"11111110", B"11111000", B"00011111", B"00010111",
2948
 B"00100000", B"11000010", B"11011101", B"00010011", B"11010100",
2949
 B"11010011", B"11100101", B"11010011", B"11011010", B"11100010",
2950
 B"11011101", B"11001111", B"00001001", B"00010000", B"00100110",
2951
 B"00011110", B"11010111", B"11110001", B"00100101", B"11011101",
2952
 B"00101011", B"11010101", B"11100111", B"00101010", B"00101011",
2953
 B"11100101", B"00011100", B"11100000", B"11111001", B"11001000",
2954
 B"00100010", B"00010001", B"11110011", B"11011000", B"00110001",
2955
 B"00011110", B"00100111", B"00011110", B"11010111", B"11011101",
2956
 B"11010110", B"00011111", B"11011111", B"11000010", B"11101010",
2957
 B"00011010", B"00001000", B"11000111", B"11010100", B"00011100",
2958
 B"00011011", B"11011000", B"11010011", B"00101011", B"00101100",
2959
 B"11100010", B"11110001", B"00011000", B"11101000", B"11001100",
2960
 B"11011001", B"00100100", B"00111101", B"11100111", B"11100000",
2961
 B"11010110", B"11111010", B"00110101", B"00011001", B"11001000",
2962
 B"00001111", B"11101111", B"00011110", B"11100000", B"00100000",
2963
 B"00100100", B"00000001", B"00010010", B"00100010", B"11010110",
2964
 B"00100010", B"11100011", B"11101100", B"10111100", B"11101001",
2965
 B"00110001", B"11010011", B"11111101", B"00100110", B"00101001",
2966
 B"11101100", B"00111011", B"00010011", B"11001011", B"11100100",
2967
 B"00011111", B"11001110", B"11100010", B"00100011", B"00001111",
2968
 B"00100001", B"00010111", B"11010001", B"11011000", B"11110011",
2969
 B"11100001", B"00011011", B"11101000", B"00011011", B"11100101",
2970
 B"11100100", B"00001110", B"11010100", B"00110001", B"11111010",
2971
 B"00100100", B"11011100", B"00110001", B"11100001", B"00001100",
2972
 B"00100000", B"00110011", B"00011010", B"00101000", B"00001111",
2973
 B"00100101", B"00010101", B"00100001", B"00110001", B"11101011",
2974
 B"11010001", B"00011110", B"11011000", B"11101011", B"11100011",
2975
 B"10111111", B"11011101", B"00001101", B"11101100", B"11110100",
2976
 B"11011010", B"11110100", B"00001110", B"11101100", B"00010110",
2977
 B"00011111", B"11010101", B"11101111", B"00011110", B"00000011",
2978
 B"10110111", B"00000011", B"00100100", B"00011010", B"00101011",
2979
 B"11011011", B"00010101", B"11011100", B"00111001", B"11010110",
2980
 B"11001110", B"11101100", B"11111000", B"11010100", B"00101110",
2981
 B"00001010", B"00100001", B"00110101", B"00011001", B"00100011",
2982
 B"11100010", B"00100100", B"00110011", B"11110011", B"11100101",
2983
 B"00010101", B"11101010", B"11101111", B"00010110", B"11110100",
2984
 B"00111001", B"00001100", B"11101111", B"01000001", B"00100000",
2985
 B"00000110", B"00010100", B"11101011", B"00011110", B"10111110",
2986
 B"00011111", B"11010110", B"00001101", B"11001000", B"00110111",
2987
 B"11001000", B"11100111", B"11011000", B"00110101", B"00011110",
2988
 B"00011101", B"11101110", B"11010011", B"00000011", B"10110001",
2989
 B"00101010", B"11101011", B"00001001", B"11011111", B"00010010",
2990
 B"00010011", B"00100000", B"11001100", B"00010001", B"11100010",
2991
 B"00000101", B"11000000", B"00100100", B"11100011", B"00001101",
2992
 B"10111000", B"11001100", B"00100101", B"00111000", B"00001001",
2993
 B"01010001", B"00010010", B"00000101", B"00101000", B"00110110",
2994
 B"00000111", B"00011111", B"11110011", B"11111011", B"11100000",
2995
 B"00100011", B"01001110", B"11010110", B"00010100", B"11010101",
2996
 B"11011101", B"11010110", B"11110100", B"11010000", B"00100010",
2997
 B"00100010", B"00110001", B"00011110", B"11010110", B"11101010",
2998
 B"11100111", B"11101111", B"00111000", B"00010110", B"00110001",
2999
 B"00010110", B"11000001", B"11010101", B"11011010", B"11100000",
3000
 B"00010000", B"00111010", B"00011010", B"00101111", B"11010010",
3001
 B"00011001", B"11001101", B"11011110", B"11100111", B"00001111",
3002
 B"00001111", B"11100010", B"11001000", B"11011000", B"11100011",
3003
 B"00001010", B"00100111", B"11101100", B"00100010", B"11011100",
3004
 B"11111111", B"00011011", B"11100001", B"11110110", B"11100101",
3005
 B"00011100", B"11111100", B"11110101", B"11010101", B"11101010",
3006
 B"11110110", B"11100111", B"11111101", B"00011100", B"00010000",
3007
 B"00010011", B"00001000", B"00101001", B"00011000", B"11100111",
3008
 B"00010110", B"11100000", B"00011100", B"11100000", B"11100111",
3009
 B"01000010", B"00010111", B"11101100", B"11011111", B"11100101",
3010
 B"11010100", B"11010011", B"00011110", B"00000000", B"00011001",
3011
 B"11110010", B"11110010", B"11010110", B"00101001", B"00100101",
3012
 B"00110101", B"00011011", B"11100111", B"11001100", B"00110101",
3013
 B"00001010", B"11110000", B"10111101", B"00001001", B"00010010",
3014
 B"00011001", B"11011001", B"00110100", B"11011000", B"00001111",
3015
 B"11011100", B"00101110", B"11011110", B"11110001", B"00011110",
3016
 B"11010111", B"11011010", B"11011111", B"11100101", B"11100111",
3017
 B"00100010", B"11110100", B"11110010", B"11011111", B"11111001",
3018
 B"11110010", B"11101011", B"00101101", B"00110010", B"11001011",
3019
 B"00000001", B"00010111", B"11010101", B"11000101", B"00001101",
3020
 B"00011111", B"11011100", B"11011101", B"11001110", B"11100101",
3021
 B"00010111", B"11100010", B"00110000", B"11000100", B"11100011",
3022
 B"11110110", B"11001000", B"00100111", B"00101010", B"00011100",
3023
 B"00011011", B"11011001", B"11001110", B"00100011", B"11011100",
3024
 B"00100010", B"11111111", B"11011101", B"00100011", B"11100110",
3025
 B"11110000", B"11010111", B"00110000", B"00101100", B"11100010",
3026
 B"00100110", B"11001000", B"00010110", B"11011011", B"11010100",
3027
 B"00000110", B"00000011", B"11010101", B"11110010", B"11001100",
3028
 B"11100101", B"00101010", B"11011011", B"00010000", B"11101011",
3029
 B"11100011", B"00101010", B"00101011", B"11011010", B"11001101",
3030
 B"11110000", B"00010100", B"00111111", B"11110000", B"00111011",
3031
 B"11100110", B"11110010", B"11101101", B"00010110", B"00100010",
3032
 B"00001111", B"00101110", B"11100111", B"11101010", B"11010111",
3033
 B"11100001", B"00100100", B"00011000", B"00000101", B"00000011",
3034
 B"11001001", B"11100011", B"11101010", B"11100010", B"11000111",
3035
 B"00101001", B"00100001", B"11110001", B"00010100", B"11111001",
3036
 B"11110111", B"11101101", B"11100101", B"11010001", B"00011111",
3037
 B"00010001", B"00011110", B"00100000", B"00010001", B"00100110",
3038
 B"00110101", B"11010110", B"00000111", B"11011000", B"00101100",
3039
 B"11101110", B"11101011", B"00100110", B"11010100", B"00100000",
3040
 B"11011001", B"11011100", B"00110101", B"01000101", B"00101010",
3041
 B"11100101", B"11111110", B"11010010", B"11100010", B"00010101",
3042
 B"11001000", B"00001110", B"00100111", B"11100011", B"11001000",
3043
 B"11101100", B"10111010", B"00011001", B"11001110", B"00011100",
3044
 B"11011000", B"00101010", B"11011001", B"11100101", B"11101010",
3045
 B"00110101", B"00000011", B"11001001", B"11100011", B"00011101",
3046
 B"00011010", B"00001010", B"11100100", B"00011111", B"11010011",
3047
 B"00011001", B"11101111", B"00100011", B"11000101", B"11011110",
3048
 B"11101010", B"00101011", B"00010100", B"11011111", B"00110010",
3049
 B"00011000", B"00110000", B"00110100", B"00100000", B"00100100",
3050
 B"00111100", B"00001011", B"00011101", B"00001000", B"11110001",
3051
 B"11001010", B"00100111", B"00010001", B"11011001", B"11101110",
3052
 B"00001011", B"11011111", B"00010011", B"00010010", B"11000100",
3053
 B"00001110", B"00111010", B"11010111", B"11001111", B"00101010",
3054
 B"00101110", B"11101010", B"11011100", B"11111100", B"11100010",
3055
 B"11101011", B"00100101", B"11011111", B"11100010", B"00011110",
3056
 B"11100101", B"11011111", B"11010001", B"11101001", B"00101100",
3057
 B"00011010", B"11101101", B"00110101", B"00111011", B"00011010",
3058
 B"11010011", B"00011011", B"11010001", B"00111101", B"00001111",
3059
 B"00100111", B"11001010", B"00100000", B"11011111", B"00101100",
3060
 B"00110001", B"00001100", B"00011110", B"00000011", B"00100101",
3061
 B"11101100", B"00001100", B"11000100", B"11100010", B"11101111",
3062
 B"00101010", B"11001011", B"00100100", B"11001010", B"11001111",
3063
 B"00101010", B"11101010", B"11010110", B"00110111", B"11001100",
3064
 B"00010101", B"11100110", B"11100111", B"00100110", B"11000111",
3065
 B"00100111", B"11011011", B"01001110", B"11100010", B"11101001",
3066
 B"00100010", B"00010111", B"00101111", B"11110000", B"00100110",
3067
 B"11101000", B"00011000", B"11011011", B"00110100", B"11011011",
3068
 B"11100111", B"11100110", B"00100001", B"00100111", B"00110001",
3069
 B"00110010", B"11000011", B"11011011", B"00110010", B"00100110",
3070
 B"00010011", B"00001110", B"00100011", B"00110111", B"11110010",
3071
 B"00011010", B"11100010", B"11001000", B"00110011", B"11011110",
3072
 B"00110000", B"11000011", B"00000010", B"00100001", B"00010100",
3073
 B"00011110", B"11101011", B"00011111", B"00111111", B"10110101",
3074
 B"11110011", B"00011000", B"11110110", B"11010000", B"11111010",
3075
 B"11100010", B"00110101", B"00100110", B"00110010", B"00110111",
3076
 B"11001101", B"00100110", B"00010000", B"11100100", B"11010011",
3077
 B"11101010", B"11000101", B"11001001", B"11001011", B"11111001",
3078
 B"00011010", B"00110010", B"11001001", B"00001101", B"11011000",
3079
 B"00001101", B"00100111", B"11101100", B"00011000", B"01000011",
3080
 B"11110001", B"00110000", B"00010110", B"11101111", B"11010101",
3081
 B"11101001", B"11010110", B"11001001", B"00110011", B"00100001",
3082
 B"00000001", B"00011111", B"00100110", B"11011010", B"11101100",
3083
 B"11010100", B"11011100", B"00100000", B"11110010", B"00011011",
3084
 B"11010101", B"00101010", B"11101100", B"11100101", B"11101000",
3085
 B"00010110", B"00100011", B"11101001", B"00101100", B"11010111",
3086
 B"11011110", B"00010101", B"11000011", B"11010010", B"11001011",
3087
 B"11011011", B"00011100", B"00111011", B"00101100", B"00111001",
3088
 B"00110111", B"00001111", B"00011001", B"00101001", B"00110011",
3089
 B"00111010", B"00001001", B"11111001", B"00101111", B"11001111",
3090
 B"00001010", B"11010111", B"00110011", B"00010000", B"11010000",
3091
 B"00111001", B"00100000", B"11100010", B"11011110", B"00111110",
3092
 B"11011111", B"11110010", B"00010110", B"11100000", B"00010010",
3093
 B"11001011", B"10111010", B"00101001", B"00001100", B"11100010",
3094
 B"11111100", B"11101011", B"11101001", B"11010100", B"11011110",
3095
 B"11101011", B"00011010", B"11001111", B"11111001", B"00001001",
3096
 B"11011011", B"11100100", B"11100111", B"11011111", B"00011010",
3097
 B"11100010", B"00011101", B"11101010", B"11100101", B"11011010",
3098
 B"01000000", B"00011010", B"00000110", B"11101000", B"11001010",
3099
 B"11001111", B"00100000", B"00100000", B"00110101", B"00100010",
3100
 B"00101010", B"00010111", B"11011011", B"11100110", B"00001001",
3101
 B"00110110", B"00000011", B"11101110", B"11011111", B"11011010",
3102
 B"11010010", B"00100101", B"00010101", B"11100000", B"01000000",
3103
 B"11101001", B"00011001", B"11011000", B"00100010", B"11011100",
3104
 B"11010100", B"11100111", B"00101110", B"00010110", B"11101111",
3105
 B"00010101", B"11101111", B"00110010", B"11100001", B"11100101",
3106
 B"00100110", B"00010011", B"11010110", B"00001100", B"00000110",
3107
 B"00100001", B"01000111", B"00110101", B"11100000", B"11100000",
3108
 B"00000011", B"11010010", B"00010110", B"11001101", B"11010111",
3109
 B"11101111", B"00000000", B"00011111", B"11011101", B"11100001",
3110
 B"00111000", B"00011001", B"00101011", B"00101101", B"11100111",
3111
 B"11011111", B"00010011", B"11001101", B"11100010", B"00100010",
3112
 B"11011100", B"11010110", B"11001010", B"11001111", B"00000011",
3113
 B"00011000", B"11101101", B"00001110", B"00011110", B"11101100",
3114
 B"11010100", B"00000011", B"00110010", B"00110110", B"00101001",
3115
 B"11101011", B"00101101", B"11010010", B"00110100", B"11001100",
3116
 B"00000111", B"00101110", B"00110010", B"00011000", B"00101000",
3117
 B"00001101", B"00101010", B"00101000", B"11010100", B"11100101",
3118
 B"01010111", B"11011110", B"00000010", B"11001010", B"11000100",
3119
 B"00001111", B"11101110", B"00101001", B"00101101", B"11100101",
3120
 B"11011010", B"11000111", B"11000101", B"11101101", B"00110100",
3121
 B"00000100", B"00101011", B"11110001", B"00010100", B"11011001",
3122
 B"00100000", B"11101010", B"00101100", B"11011111", B"01000101",
3123
 B"00111101", B"11111100", B"00100011", B"00000110", B"11000000",
3124
 B"11100100", B"11110010", B"11101001", B"00001110", B"00010011",
3125
 B"11010011", B"00011101", B"11001000", B"11000000", B"00101101",
3126
 B"00101011", B"00011011", B"10111010", B"00011011", B"11100101",
3127
 B"00010011", B"00010111", B"11101110", B"11100001", B"00100101",
3128
 B"11100010", B"11100111", B"11101101", B"11001100", B"11001011",
3129
 B"11011101", B"00100101", B"00010010", B"00100010", B"00011010",
3130
 B"11010001", B"11011001", B"11010000", B"00100010", B"00101110",
3131
 B"00010010", B"11011101", B"00110101", B"11011111", B"00001101",
3132
 B"11111001", B"11100100", B"00011000", B"00011011", B"00011110",
3133
 B"00100011", B"11010001", B"11011111", B"00110101", B"11101101",
3134
 B"11100101", B"11100110", B"11100101", B"00110010", B"11101110",
3135
 B"00011011", B"11110011", B"00101101", B"00110000", B"11011001",
3136
 B"11101011", B"11101110", B"11011100", B"11011001", B"11010001",
3137
 B"00010101", B"01000010", B"11101000", B"11000010", B"11010010",
3138
 B"11101101", B"11011011", B"00010110", B"11100010", B"11001001",
3139
 B"00100001", B"11111101", B"00000001", B"11011011", B"11010111",
3140
 B"11100100", B"00010010", B"11100100", B"00001010", B"11101101",
3141
 B"11100110", B"00101011", B"00010110", B"00011001", B"00011111",
3142
 B"00011111", B"11011100", B"00100101", B"11000010", B"00100000",
3143
 B"11100010", B"00001110", B"11100100", B"11001110", B"00010010",
3144
 B"11011111", B"11101110", B"11011000", B"11110101", B"00111011",
3145
 B"00001011", B"00010101", B"11101001", B"00100010", B"11001111",
3146
 B"00101110", B"11100011", B"00100011", B"11001101", B"00110000",
3147
 B"11110010", B"11101000", B"11010001", B"00000110", B"00110011",
3148
 B"11011010", B"00011010", B"00100011", B"00111100", B"10110110",
3149
 B"00100010", B"11100001", B"00000100", B"00001111", B"11001111",
3150
 B"00011001", B"11100111", B"11011011", B"11011100", B"00010101",
3151
 B"00111111", B"00101111", B"11011101", B"00101001", B"11111110",
3152
 B"11011110", B"11101110", B"00110010", B"00101000", B"00001101",
3153
 B"11101010", B"10111101", B"11110100", B"11101101", B"00001111",
3154
 B"11101101", B"00010111", B"00100010", B"00100001", B"00100101",
3155
 B"00101011", B"00101111", B"00110011", B"00001100", B"00010011",
3156
 B"00110001", B"11101101", B"11110100", B"11011100", B"11001101",
3157
 B"00100111", B"11101000", B"00010010", B"11110001", B"11011111",
3158
 B"00111001", B"11001011", B"00010111", B"11011000", B"11100010",
3159
 B"00101000", B"00010110", B"00011100", B"11101011", B"00100100",
3160
 B"00100100", B"11110000", B"11110110", B"00100111", B"00101011",
3161
 B"00010101", B"00101011", B"11100011", B"00110011", B"11100110",
3162
 B"11111010", B"11100001", B"00010011", B"11010001", B"00100111",
3163
 B"00110100", B"11100000", B"00100110", B"00010111", B"11011111",
3164
 B"00100011", B"00010110", B"11000110", B"11010111", B"00100100",
3165
 B"00000111", B"11110011", B"11101011", B"11000110", B"11110000",
3166
 B"11001110", B"00001010", B"00010110", B"11100011", B"01001010",
3167
 B"00000101", B"00100001", B"11101000", B"00010011", B"00100011",
3168
 B"11011000", B"00100000", B"00110010", B"11011100", B"11011100",
3169
 B"11101101", B"11101011", B"00100110", B"00101001", B"11110110",
3170
 B"00001001", B"11000110", B"11101010", B"00100010", B"00110001",
3171
 B"00001100", B"11011100", B"00100101", B"11110011", B"00010001",
3172
 B"00011100", B"11110101", B"11011101", B"00111000", B"11001011",
3173
 B"11110101", B"11001100", B"11101011", B"11011010", B"00101011",
3174
 B"00101110", B"11010111", B"11111001", B"11100000", B"11100111",
3175
 B"11101110", B"11000111", B"11100001", B"00011000", B"11011011",
3176
 B"11111011", B"11001101", B"11000110", B"00000100", B"00101011",
3177
 B"11010111", B"11111100", B"00101111", B"11100101", B"00011010",
3178
 B"00001001", B"11110010", B"00100000", B"11101010", B"11001110",
3179
 B"11010000", B"11100001", B"00100101", B"11100000", B"00101011",
3180
 B"11101111", B"00101001", B"00000000", B"00101010", B"11101001",
3181
 B"01000001", B"11101001", B"00011011", B"11000011", B"00011000",
3182
 B"11011001", B"11010111", B"11000100", B"00011111", B"00010011",
3183
 B"11100010", B"00010000", B"00011010", B"11001101", B"00110000",
3184
 B"00101000", B"11101011", B"11011111", B"00110110", B"00010111",
3185
 B"11101010", B"11111100", B"11100001", B"11010000", B"00010000",
3186
 B"10111001", B"01000110", B"00100011", B"00100101", B"11101001",
3187
 B"00001111", B"00011101", B"11100110", B"11001110", B"00101000",
3188
 B"11101110", B"00101000", B"00101101", B"00010101", B"11100011",
3189
 B"00101001", B"11101010", B"00010011", B"00010000", B"11011110",
3190
 B"11101011", B"00100000", B"11000011", B"11101111", B"11110001",
3191
 B"11010100", B"00101100", B"00101000", B"00010101", B"00101100",
3192
 B"00110101", B"00101001", B"00101100", B"00011001", B"11001011",
3193
 B"00000011", B"00100010", B"00100000", B"11010001", B"00011101",
3194
 B"11111110", B"00110011", B"00000010", B"11100001", B"01001111",
3195
 B"00000101", B"11100000", B"11001011", B"00011010", B"00111101",
3196
 B"11101011", B"11010111", B"00011111", B"11001111", B"00011110",
3197
 B"11011010", B"11000000", B"00110011", B"00111001", B"00001110",
3198
 B"11101111", B"00101100", B"00101111", B"11101010", B"11110011",
3199
 B"00010101", B"11100010", B"11111010", B"00010011", B"00001111",
3200
 B"00110010", B"00001000", B"11100000", B"00000011", B"11011111",
3201
 B"00011101", B"11010110", B"00100111", B"11001101", B"10111000",
3202
 B"00101111", B"00010001", B"00011001", B"00101010", B"00101100",
3203
 B"00111010", B"00011000", B"00010100", B"00010101", B"00100101",
3204
 B"11010001", B"11011100", B"11001101", B"11011111", B"00011011",
3205
 B"00010100", B"11110000", B"00001000", B"11010101", B"00110101",
3206
 B"00111101", B"11011000", B"11111001", B"11001010", B"11011101",
3207
 B"11001000", B"00100011", B"00100010", B"00011010", B"00101000",
3208
 B"00011100", B"00110000", B"00010110", B"00101000", B"11100001",
3209
 B"00010101", B"00010010", B"11011101", B"11101110", B"11110101",
3210
 B"00001011", B"11110101", B"00100101", B"11110100", B"00010101",
3211
 B"11100001", B"11010100", B"11010111", B"00001011", B"00110010",
3212
 B"00010001", B"11101001", B"11011110", B"11000110", B"11011001",
3213
 B"00110000", B"11101110", B"00000110", B"11011110", B"00010011",
3214
 B"00110011", B"00100101", B"11011101", B"00000101", B"11110111",
3215
 B"00001101", B"11100100", B"11111001", B"11101111", B"00011011",
3216
 B"00101001", B"00001111", B"11011001", B"10111011", B"00101000",
3217
 B"00010011", B"11110000", B"00111111", B"00001111", B"11100001",
3218
 B"11001010", B"00100001", B"00001110", B"00010100", B"00010101",
3219
 B"11001001", B"00100111", B"10110111", B"00100000", B"11001111",
3220
 B"00010011", B"00010001", B"11010110", B"00011010", B"00110000",
3221
 B"11010100", B"11011101", B"00001101", B"11000100", B"00101101",
3222
 B"11100010", B"11111011", B"11110000", B"00101010", B"00010010",
3223
 B"10111110", B"11100000", B"00101000", B"00100010", B"00100011",
3224
 B"11110001", B"00000011", B"10111110", B"00001010", B"00010011",
3225
 B"00011101", B"11101000", B"00010001", B"00100111", B"11100001",
3226
 B"00000101", B"00011011", B"00111000", B"00011011", B"00001011",
3227
 B"00011010", B"00100011", B"00010111", B"00011011", B"00101101",
3228
 B"00101000", B"11010100", B"00101101", B"00111000", B"11111001",
3229
 B"00001111", B"00101010", B"11100010", B"00001010", B"00010111",
3230
 B"11010110", B"00011100", B"00100111", B"11111001", B"11101010",
3231
 B"00010011", B"00010111", B"00110101", B"00010010", B"11100010",
3232
 B"00110001", B"11011101", B"00100000", B"11111110", B"00001101",
3233
 B"11011101", B"00101100", B"00101000", B"11011010", B"00011010",
3234
 B"00010111", B"11110001", B"11100100", B"00011011", B"11110101",
3235
 B"11011011", B"11100100", B"00110010", B"00010111", B"11011100",
3236
 B"00100001", B"00010100", B"00101110", B"11101000", B"00110100",
3237
 B"11010100", B"00010010", B"11010100", B"11011000", B"11110111",
3238
 B"00100100", B"00110111", B"00010000", B"00011000", B"11011110",
3239
 B"11100101", B"11100110", B"11000110", B"00101001", B"00010001",
3240
 B"00100100", B"00001110", B"11100011", B"11011001", B"00001000",
3241
 B"11100101", B"11010101", B"00101001", B"11010100", B"11010000",
3242
 B"11011111", B"11001111", B"11010001", B"00100011", B"00000000",
3243
 B"11000110", B"11101011", B"11100011", B"11011011", B"11101000",
3244
 B"00100101", B"11010111", B"00101111", B"00010010", B"11101011",
3245
 B"00100010", B"00110010", B"11010100", B"00101000", B"11101000",
3246
 B"00011000", B"11100110", B"11001010", B"11101000", B"00100010",
3247
 B"00001100", B"11111000", B"11010111", B"11101001", B"00100001",
3248
 B"00000011", B"11111010", B"00101100", B"11001111", B"11011110",
3249
 B"00101011", B"11010010", B"00001000", B"11110100", B"11100000",
3250
 B"00010000", B"00100100", B"00100010", B"00100010", B"00100011",
3251
 B"11011101", B"00100100", B"11010011", B"00100101", B"11011100",
3252
 B"00001101", B"11011010", B"11011000", B"00100100", B"11010111",
3253
 B"11010111", B"11001110", B"11101110", B"00000100", B"11001000",
3254
 B"00001111", B"00000001", B"11110101", B"00011001", B"00110100",
3255
 B"11110011", B"11110010", B"11011010", B"11100001", B"00011010",
3256
 B"00000000", B"11010001", B"00101000", B"11011110", B"00001010",
3257
 B"11100010", B"11100111", B"11110101", B"11101101", B"00011000",
3258
 B"11011111", B"00001011", B"11100100", B"11011110", B"11000101",
3259
 B"11010111", B"00111110", B"01000010", B"00100101", B"00101001",
3260
 B"11101011", B"11011101", B"11101110", B"11111111", B"00011111",
3261
 B"11101011", B"00110000", B"11100010", B"00110011", B"00001001",
3262
 B"00011000", B"11100011", B"00011001", B"11101001", B"00100110",
3263
 B"11011000", B"11100011", B"11011110", B"00001100", B"00101111",
3264
 B"11110011", B"00100010", B"11010011", B"11010011", B"00011010",
3265
 B"11010111", B"00011100", B"11101001", B"11010100", B"10111110",
3266
 B"00011011", B"00110100", B"00100111", B"00010000", B"00101101",
3267
 B"00111000", B"00001011", B"00011100", B"00100101", B"00111000",
3268
 B"11100001", B"11010001", B"00100100", B"00100100", B"00001101",
3269
 B"00111011", B"11111001", B"11100010", B"00011110", B"00100111",
3270
 B"11011010", B"11101001", B"00011011", B"00101000", B"11101001",
3271
 B"11110001", B"11110001", B"00000110", B"00000110", B"11001101",
3272
 B"11001111", B"11101111", B"11101110", B"11100000", B"00100111",
3273
 B"11001110", B"00011001", B"11101100", B"11011101", B"11110001",
3274
 B"00101001", B"00011001", B"00001110", B"11110000", B"00110011",
3275
 B"11011110", B"11011000", B"11101000", B"00000000", B"00110001",
3276
 B"11101010", B"11011000", B"00100001", B"00100001", B"00101101",
3277
 B"00110111", B"11110110", B"11001011", B"00110000", B"00101011",
3278
 B"00011011", B"01000100", B"00101010", B"00011101", B"00100011",
3279
 B"00010110", B"01001101", B"11000111", B"00011000", B"00101110",
3280
 B"11010101", B"00001011", B"00010000", B"11101000", B"11101000",
3281
 B"00011001", B"11100110", B"11100110", B"11010011", B"00101101",
3282
 B"00100010", B"11100000", B"11011111", B"00001111", B"11101000",
3283
 B"11100111", B"11101111", B"00011101", B"00011010", B"11111100",
3284
 B"00111110", B"01000010", B"00000010", B"11010011", B"00100100",
3285
 B"11011001", B"00011001", B"11100011", B"11011011", B"00101000",
3286
 B"11111000", B"11011101", B"11011111", B"00100000", B"00110000",
3287
 B"11011101", B"11100010", B"00100010", B"00110000", B"11101010",
3288
 B"11100111", B"11100110", B"11100000", B"11100001", B"11000010",
3289
 B"11100000", B"11001001", B"11001000", B"00011110", B"00100110",
3290
 B"00110010", B"00011000", B"00100011", B"11100000", B"00000100",
3291
 B"00011101", B"11110100", B"00100110", B"00011111", B"11101101",
3292
 B"11101100", B"00011101", B"00011000", B"11100101", B"11111001",
3293
 B"11100000", B"11011101", B"11101001", B"00011011", B"00011010",
3294
 B"00001110", B"11100011", B"00001011", B"00100010", B"11100010",
3295
 B"11100000", B"00111010", B"00001000", B"00101110", B"00010000",
3296
 B"00100100", B"00011111", B"00001101", B"00011111", B"11001100",
3297
 B"00011110", B"11100000", B"00011111", B"11011011", B"00000111",
3298
 B"00010000", B"00100000", B"11101000", B"00101011", B"00101101",
3299
 B"00010110", B"11001101", B"00101000", B"11011110", B"00011100",
3300
 B"00011000", B"11010110", B"10111011", B"00101110", B"11110010",
3301
 B"11011100", B"11011100", B"11011110", B"11001100", B"00011100",
3302
 B"01000111", B"00110010", B"11011110", B"00101000", B"11101111",
3303
 B"00011000", B"00110011", B"00100100", B"00000001", B"00101011",
3304
 B"00110111", B"00100001", B"00010011", B"00101001", B"11011001",
3305
 B"11110011", B"00010000", B"11010000", B"00100101", B"11010101",
3306
 B"11011111", B"00100100", B"00100100", B"11100101", B"00011111",
3307
 B"00100110", B"11100100", B"00100101", B"00000111", B"11011001",
3308
 B"11101110", B"11100000", B"00110010", B"00110110", B"00101001",
3309
 B"00011010", B"11110001", B"11001010", B"11100010", B"11011001",
3310
 B"11101110", B"00010110", B"00110011", B"11010011", B"00011101",
3311
 B"11111110", B"00011111", B"00101000", B"00011000", B"11000111",
3312
 B"00001001", B"11110000", B"00011010", B"11101101", B"00101100",
3313
 B"11110000", B"11101101", B"11010011", B"11100111", B"00101001",
3314
 B"11011101", B"11111011", B"00010100", B"00100101", B"00010101",
3315
 B"11100100", B"00100001", B"11000011", B"00111001", B"11010010",
3316
 B"00100010", B"11000000", B"00100010", B"11101001", B"11100100",
3317
 B"11101000", B"00010011", B"00010011", B"00100000", B"00010111",
3318
 B"00100011", B"11001011", B"00101000", B"11110000", B"00100010",
3319
 B"11011000", B"00010110", B"11110000", B"00101000", B"11101101",
3320
 B"11110010", B"11100000", B"00010011", B"11111011", B"11011100",
3321
 B"00110111", B"00110101", B"00100000", B"11101010", B"00011100",
3322
 B"11111101", B"00100001", B"11001101", B"11111111", B"11001111",
3323
 B"11010111", B"00101001", B"00011001", B"00100111", B"00101000",
3324
 B"11100101", B"00011101", B"00110111", B"00100000", B"11100111",
3325
 B"00100101", B"11001110", B"01001000", B"00010010", B"11101010",
3326
 B"11110001", B"11101111", B"11011101", B"00100000", B"11100000",
3327
 B"00100100", B"11110110", B"11100001", B"00011011", B"00100001",
3328
 B"00011011", B"00010001", B"11010001", B"11011110", B"00001001",
3329
 B"11010101", B"11011011", B"11001110", B"11011100", B"00100000",
3330
 B"11110000", B"00011110", B"11010101", B"00101010", B"11011100",
3331
 B"00011100", B"11101010", B"11001111", B"00100101", B"00000100",
3332
 B"00011101", B"00011101", B"11111010", B"11101100", B"00110101",
3333
 B"00001101", B"11010101", B"11100011", B"11111110", B"00001101",
3334
 B"11011100", B"00100000", B"00001000", B"11011011", B"11100011",
3335
 B"00110000", B"00001000", B"11110110", B"00101001", B"11110110",
3336
 B"00100000", B"11011111", B"11100001", B"00011100", B"00111110",
3337
 B"00010101", B"11110111", B"11011111", B"00010010", B"00011111",
3338
 B"11111001", B"11110001", B"11111000", B"00100101", B"11100100",
3339
 B"11010101", B"11011000", B"00110110", B"00101000", B"11001010",
3340
 B"00100001", B"11100001", B"00001101", B"00110011", B"11100100",
3341
 B"00101001", B"01000111", B"11010111", B"11100010", B"11010101",
3342
 B"11111000", B"11101001", B"00100010", B"00101000", B"00110101",
3343
 B"00100110", B"00010000", B"11101011", B"00110101", B"10110110",
3344
 B"00011000", B"11000000", B"11001100", B"00111011", B"11010001",
3345
 B"11110101", B"00001101", B"00001001", B"00011010", B"00011000",
3346
 B"11100001", B"11100011", B"11011111", B"00111100", B"00010110",
3347
 B"00101000", B"11111110", B"00101011", B"11011100", B"00100111",
3348
 B"00100010", B"11010110", B"11111111", B"00100111", B"11011011",
3349
 B"00100100", B"00010011", B"11101001", B"11100100", B"00111000",
3350
 B"00101011", B"11111001", B"11010100", B"11100101", B"11011111",
3351
 B"11010001", B"00011110", B"00001101", B"11110101", B"11100111",
3352
 B"00011100", B"00011000", B"11011000", B"11100000", B"11010101",
3353
 B"11011010", B"11010001", B"00011010", B"00011010", B"11100110",
3354
 B"00010111", B"11110010", B"00100010", B"10111100", B"00100011",
3355
 B"11011111", B"11011000", B"11011011", B"00001100", B"00011011",
3356
 B"00011101", B"11100111", B"00001001", B"11001100", B"11100010",
3357
 B"11111101", B"00111110", B"00001100", B"11000010", B"10111001",
3358
 B"00100001", B"00011100", B"00110101", B"00011001", B"11011010",
3359
 B"00000011", B"11011011", B"11000101", B"11011111", B"11100011",
3360
 B"00101011", B"00110011", B"00101110", B"00111111", B"11111000",
3361
 B"11011111", B"00011110", B"00011101", B"00011100", B"00100101",
3362
 B"11000101", B"11101110", B"11100000", B"11101101", B"00011101",
3363
 B"00100000", B"00011010", B"00100000", B"11101001", B"11001110",
3364
 B"00100010", B"00010011", B"00010111", B"11001111", B"00011100",
3365
 B"11011101", B"11111001", B"11010010", B"11110000", B"11101000",
3366
 B"11101011", B"00011110", B"00001101", B"11101101", B"00110110",
3367
 B"11101100", B"11101001", B"00101001", B"00001110", B"00000111",
3368
 B"11011000", B"00011101", B"11100111", B"00100010", B"00001100",
3369
 B"11010010", B"00001100", B"11110100", B"11100010", B"11100110",
3370
 B"00100101", B"00101011", B"11101110", B"11011101", B"11110001",
3371
 B"11001011", B"00100010", B"00001111", B"00111001", B"00010101",
3372
 B"00110011", B"11110101", B"00100001", B"11100111", B"11100001",
3373
 B"11011010", B"00011000", B"00010110", B"11010111", B"00100010",
3374
 B"11011011", B"11001101", B"11001011", B"00010110", B"00110000",
3375
 B"11000100", B"11100100", B"11010001", B"00011100", B"11011110",
3376
 B"00100101", B"11101000", B"11000010", B"00011000", B"11011111",
3377
 B"00100010", B"00001100", B"11100011", B"11010100", B"11100000",
3378
 B"11100011", B"11101100", B"11011011", B"00011101", B"11011111",
3379
 B"10110111", B"11011011", B"00100000", B"00100000", B"11101000",
3380
 B"11100101", B"11100111", B"11010100", B"11101010", B"00010000",
3381
 B"00101101", B"01000011", B"00011111", B"00010111", B"00100011",
3382
 B"00011011", B"11100101", B"00101010", B"11001110", B"00010100",
3383
 B"11110011", B"00001111", B"10111100", B"00110000", B"11010111",
3384
 B"11011110", B"11101011", B"00110000", B"00010111", B"00010100",
3385
 B"00111011", B"00010101", B"11010001", B"00100100", B"11111100",
3386
 B"00100101", B"11000100", B"11110100", B"00000011", B"00010110",
3387
 B"00100100", B"11010011", B"00000101", B"11100110", B"00010110",
3388
 B"11100001", B"11111001", B"00110100", B"00100111", B"00010011",
3389
 B"00110111", B"11011101", B"11101111", B"00110110", B"11001010",
3390
 B"11101100", B"00111101", B"11001110", B"11110011", B"11101010",
3391
 B"11010001", B"11011100", B"00100110", B"11011100", B"00111111",
3392
 B"11100100", B"11100100", B"00111000", B"00100101", B"11001110",
3393
 B"00100011", B"00010010", B"00011100", B"11001110", B"00100010",
3394
 B"00000110", B"00010110", B"00000010", B"00100011", B"11011001",
3395
 B"11110110", B"00010110", B"00110100", B"11011001", B"11100001",
3396
 B"11010001", B"11110000", B"11011000", B"11100101", B"00100110",
3397
 B"00001010", B"00100110", B"00101100", B"00101111", B"00011011",
3398
 B"11001010", B"11100011", B"00101100", B"00010010", B"11100100",
3399
 B"11100011", B"11110001", B"11010111", B"00011001", B"00101110",
3400
 B"00010100", B"00101001", B"11011011", B"11000111", B"11100000",
3401
 B"11011011", B"00011010", B"11011010", B"00010010", B"11010110",
3402
 B"11011001", B"00101000", B"11101000", B"11010111", B"00101110",
3403
 B"11100111", B"00111001", B"11101001", B"11010111", B"00011010",
3404
 B"10111101", B"00011100", B"11000111", B"11100000", B"11100010",
3405
 B"00100011", B"00110010", B"11110000", B"11111000", B"00100011",
3406
 B"00010001", B"00100000", B"00010100", B"00000100", B"00100011",
3407
 B"00101001", B"00111001", B"00110000", B"11101011", B"11100110",
3408
 B"00101110", B"00101110", B"11111100", B"11011101", B"11011100",
3409
 B"00011101", B"00100101", B"00011101", B"11011101", B"00011110",
3410
 B"11100011", B"00111001", B"00011110", B"00100001", B"00010000",
3411
 B"11111010", B"00100001", B"11100001", B"00010010", B"11101011",
3412
 B"00000101", B"00100111", B"11010100", B"11101101", B"00101111",
3413
 B"00101011", B"11010011", B"11110000", B"11100111", B"00100100",
3414
 B"11010110", B"00100111", B"11101010", B"11100111", B"00010100",
3415
 B"00100010", B"11001111", B"00110010", B"00110110", B"00110100",
3416
 B"11100001", B"00111000", B"11110011", B"11111100", B"01010000",
3417
 B"00100111", B"00011010", B"00010101", B"00010011", B"00100100",
3418
 B"00001110", B"00110100", B"00101111", B"00100101", B"00000111",
3419
 B"00110001", B"00101000", B"00010101", B"00100101", B"00011101",
3420
 B"00010010", B"11001011", B"11100010", B"11011000", B"11011011",
3421
 B"00000100", B"11101001", B"00010110", B"11100001", B"11101000",
3422
 B"00000110", B"11100001", B"00010111", B"11100000", B"11100101",
3423
 B"00110100", B"11001001", B"11011010", B"00100111", B"00100111",
3424
 B"00111001", B"00111000", B"11011010", B"11000001", B"10111111",
3425
 B"11011001", B"11100001", B"00010101", B"00101000", B"11100011",
3426
 B"00010011", B"11101111", B"11101101", B"11011010", B"11101000",
3427
 B"11101001", B"00011111", B"00100111", B"00011110", B"00110100",
3428
 B"00010000", B"00100010", B"11100001", B"00101101", B"00101011",
3429
 B"11011001", B"11100110", B"00111010", B"00111000", B"11001100",
3430
 B"11111001", B"00001001", B"11001001", B"00001101", B"00101000",
3431
 B"11101111", B"11010000", B"11100111", B"00101010", B"11010100",
3432
 B"00101110", B"11011010", B"11001010", B"00100110", B"11011111",
3433
 B"00111000", B"00001111", B"00011110", B"11100100", B"00010001",
3434
 B"11100011", B"00010011", B"11110101", B"00001010", B"11010111",
3435
 B"00010110", B"11100101", B"11011010", B"00010011", B"00100011",
3436
 B"11011000", B"00001110", B"11110101", B"11011111", B"11110001",
3437
 B"00110001", B"00110111", B"11011100", B"11101011", B"00101011",
3438
 B"00110100", B"11100010", B"11100011", B"11100110", B"11101111",
3439
 B"11010011", B"00101100", B"00100000", B"11101001", B"00011001",
3440
 B"01000000", B"11010110", B"11100001", B"00011100", B"11001110",
3441
 B"11011100", B"11011111", B"11011011", B"00100110", B"00011110",
3442
 B"00100111", B"00010100", B"11101111", B"00011010", B"11011010",
3443
 B"11101100", B"11101001", B"00101111", B"00100111", B"11110010",
3444
 B"00011101", B"11100111", B"11011011", B"11011111", B"11101000",
3445
 B"00101001", B"11000000", B"00001001", B"11110100", B"00110111",
3446
 B"11010110", B"00011000", B"11011110", B"11100101", B"00011010",
3447
 B"00111111", B"11100001", B"00011011", B"00100000", B"11101001",
3448
 B"11011111", B"11000010", B"11100000", B"11001010", B"11011011",
3449
 B"11101100", B"11101000", B"00101001", B"00011110", B"11010111",
3450
 B"00010001", B"11001111", B"11100100", B"11010110", B"11100111",
3451
 B"00101000", B"00110010", B"11011001", B"00011111", B"11101101",
3452
 B"00100011", B"11001101", B"11011110", B"00001001", B"11011011",
3453
 B"11001110", B"11001111", B"10111001", B"11110010", B"11010111",
3454
 B"00101000", B"11011011", B"00011001", B"11101010", B"11100100",
3455
 B"00010111", B"11110000", B"00011010", B"00010011", B"11001001",
3456
 B"11110010", B"11110100", B"11100011", B"11010111", B"00101011",
3457
 B"11011000", B"00100001", B"11100101", B"11001001", B"11011111",
3458
 B"00110111", B"00101001", B"00010000", B"11010111", B"00010011",
3459
 B"11011000", B"11010010", B"11110010", B"00100111", B"00101100",
3460
 B"11101101", B"11100010", B"00010110", B"11101010", B"00100110",
3461
 B"11011101", B"11010010", B"00101000", B"00000011", B"00010100",
3462
 B"00011011", B"11101111", B"11110110", B"11010101", B"11010100",
3463
 B"11100001", B"00111001", B"11100110", B"00011011", B"11100111",
3464
 B"11101111", B"11110001", B"00010011", B"00001110", B"00011101",
3465
 B"00001011", B"11110100", B"11010000", B"00101000", B"00101110",
3466
 B"11011000", B"11101111", B"11110100", B"00001110", B"00010000",
3467
 B"11010111", B"11100101", B"00100101", B"11101010", B"11101001",
3468
 B"00100100", B"11101101", B"00110000", B"11110011", B"11100000",
3469
 B"11100111", B"00100110", B"00010000", B"00010001", B"11000001",
3470
 B"11001010", B"00010001", B"11101101", B"11101000", B"11110010",
3471
 B"11100111", B"11101110", B"11010110", B"11010001", B"11101100",
3472
 B"00011100", B"00011111", B"00011110", B"00010001", B"11101100",
3473
 B"11011110", B"00100101", B"11110111", B"00100010", B"11101010",
3474
 B"11010000", B"00110100", B"00010001", B"11111001", B"00001110",
3475
 B"00000100", B"11100000", B"00000110", B"00011011", B"11010111",
3476
 B"11110111", B"11011110", B"11011010", B"10111110", B"00000100",
3477
 B"00110001", B"00101101", B"01001100", B"11101110", B"00100100",
3478
 B"11101100", B"11100111", B"11010010", B"00011110", B"00100001",
3479
 B"11110000", B"11100000", B"00110000", B"00100010", B"11010110",
3480
 B"11010010", B"11101110", B"11110101", B"11010001", B"11110101",
3481
 B"00101100", B"00101011", B"10110000", B"11101010", B"00000001",
3482
 B"11100011", B"11101010", B"00010111", B"11010110", B"00001000",
3483
 B"11110100", B"11001110", B"00101011", B"11100010", B"00000011",
3484
 B"11011001", B"00101001", B"00000011", B"00100000", B"11001101",
3485
 B"10111110", B"00100101", B"00111011", B"00011111", B"11110000",
3486
 B"00101110", B"11100001", B"11100111", B"11011111", B"00100101",
3487
 B"00100110", B"00011101", B"00101101", B"11000001", B"00001110",
3488
 B"00100101", B"11101001", B"11110011", B"00011010", B"11010101",
3489
 B"00001010", B"00000101", B"00100011", B"11101101", B"00011101",
3490
 B"11011111", B"11111100", B"11010011", B"00100011", B"11010101",
3491
 B"11001111", B"11100010", B"00100111", B"00100001", B"11101011",
3492
 B"00100101", B"11100110", B"00011101", B"00010001", B"11010011",
3493
 B"00110000", B"00000100", B"11100001", B"00100000", B"11000010",
3494
 B"11011111", B"11100111", B"11011011", B"00110111", B"11010111",
3495
 B"00000100", B"00010101", B"00101010", B"11110101", B"11101000",
3496
 B"00000000", B"00101100", B"11011011", B"11010000", B"11101000",
3497
 B"11011101", B"00000110", B"11001110", B"00110000", B"11011001",
3498
 B"11010110", B"00000100", B"11010001", B"00000011", B"11010100",
3499
 B"11111111", B"00100110", B"00000111", B"00101101", B"11111011",
3500
 B"00100111", B"00011101", B"00010101", B"11011101", B"00000111",
3501
 B"11010111", B"00100110", B"11101001", B"00001111", B"11000001",
3502
 B"00010000", B"11100010", B"11100101", B"10110110", B"00101110",
3503
 B"00100010", B"00001111", B"00011100", B"11010111", B"11010011",
3504
 B"00111011", B"00100011", B"11000000", B"11101100", B"11100110",
3505
 B"11110100", B"00100111", B"00011100", B"11011000", B"00010001",
3506
 B"11101110", B"00010010", B"11101111", B"11010111", B"00011010",
3507
 B"11110000", B"00010101", B"11101100", B"11010001", B"00011101",
3508
 B"00001111", B"00100010", B"00110011", B"11100110", B"00010010",
3509
 B"11100010", B"00101100", B"11010101", B"00100100", B"00100110",
3510
 B"00001001", B"00101000", B"00100000", B"00011110", B"00100001",
3511
 B"00101101", B"00110110", B"11010000", B"11100000", B"11010010",
3512
 B"11100011", B"00100100", B"11011010", B"00100101", B"11100010",
3513
 B"00001101", B"11101110", B"00000110", B"11100100", B"11100100",
3514
 B"01000000", B"00000101", B"11100001", B"00101001", B"00011110",
3515
 B"00011001", B"11010100", B"00100110", B"11100001", B"00001111",
3516
 B"00010111", B"00100111", B"11001101", B"00100011", B"01001101",
3517
 B"11101010", B"11100010", B"00011010", B"00011101", B"00101000",
3518
 B"00100101", B"00010011", B"00101001", B"00111001", B"00101010",
3519
 B"00000010", B"00101101", B"00001001", B"11010000", B"11000100",
3520
 B"00100110", B"00101010", B"11010010", B"11110110", B"00001010",
3521
 B"11100101", B"00011000", B"00010111", B"11101001", B"00101100",
3522
 B"00010111", B"11001111", B"00001011", B"11100100", B"00110000",
3523
 B"00011111", B"11011010", B"00101001", B"00100001", B"11100001",
3524
 B"00111010", B"00100111", B"00110101", B"00100011", B"11111110",
3525
 B"00101101", B"00010111", B"00011110", B"00110011", B"11001000",
3526
 B"11101001", B"11001110", B"11100000", B"00110001", B"11011111",
3527
 B"00100101", B"11101101", B"00010111", B"00001101", B"00101011",
3528
 B"11101001", B"00001111", B"11110010", B"00001101", B"00011100",
3529
 B"11011111", B"00011011", B"11100100", B"11010001", B"11011100",
3530
 B"00010111", B"00010011", B"11001110", B"00110001", B"00011101",
3531
 B"11011111", B"11100011", B"11100111", B"11010111", B"11101011",
3532
 B"11110010", B"11101100", B"11010111", B"11101001", B"00110110",
3533
 B"00010000", B"00001110", B"00011110", B"11001111", B"11100011",
3534
 B"11110101", B"00101100", B"00100001", B"11100100", B"00010001",
3535
 B"11010000", B"00010001", B"00000111", B"11100000", B"00110100",
3536
 B"00001001", B"11010111", B"11010011", B"00110101", B"00011111",
3537
 B"00010100", B"11011100", B"00011010", B"00011100", B"11000010",
3538
 B"11101110", B"01001001", B"00110000", B"00001011", B"00011000",
3539
 B"00011001", B"00011111", B"00111101", B"00010001", B"00011100",
3540
 B"11000100", B"11101000", B"00110011", B"00101001", B"00100001",
3541
 B"00100111", B"11010001", B"11100000", B"00100010", B"00101111",
3542
 B"11001101", B"00010110", B"00101011", B"11100011", B"11001000",
3543
 B"00000001", B"00101011", B"11000101", B"11001000", B"11010001",
3544
 B"11011111", B"00100101", B"11100010", B"00101100", B"00111111",
3545
 B"01000000", B"00100001", B"00101001", B"01000111", B"00010100",
3546
 B"00000100", B"00010101", B"11011110", B"00000000", B"11101011",
3547
 B"11101000", B"11101010", B"00010000", B"00011111", B"11010010",
3548
 B"11100101", B"11011010", B"11010001", B"00101110", B"00011101",
3549
 B"11101101", B"00011111", B"11101001", B"11010111", B"11010000",
3550
 B"00100110", B"11110100", B"00100101", B"00000111", B"11101101",
3551
 B"00110001", B"11101001", B"11011011", B"11001001", B"00001100",
3552
 B"00000101", B"11001010", B"00100111", B"11010111", B"00100111",
3553
 B"00011000", B"00101110", B"00011110", B"00101100", B"00011010",
3554
 B"00010110", B"00101110", B"00110100", B"00010000", B"11110110",
3555
 B"00101111", B"00100001", B"11101101", B"11010001", B"00011100",
3556
 B"11000110", B"00011011", B"00011110", B"11010010", B"11111010",
3557
 B"00000101", B"11001010", B"11011010", B"00101000", B"00011011",
3558
 B"11100101", B"11100001", B"11111101", B"00100111", B"11100000",
3559
 B"11100100", B"11110110", B"11101101", B"11010111", B"11011110",
3560
 B"00011100", B"00111101", B"00011000", B"00010111", B"11101011",
3561
 B"11101111", B"00001110", B"00010111", B"00100100", B"00100001",
3562
 B"11101001", B"11011101", B"00100100", B"00111001", B"00011111",
3563
 B"00101111", B"00000010", B"00100001", B"00011110", B"00110000",
3564
 B"00100100", B"11100100", B"00000100", B"11011000", B"11000111",
3565
 B"11100011", B"00101100", B"11111001", B"11101000", B"11011111",
3566
 B"00111010", B"11001111", B"00000011", B"11100100", B"11000110",
3567
 B"01000010", B"11111010", B"00110111", B"00011101", B"11010101",
3568
 B"00010011", B"11000111", B"00011011", B"11101110", B"11100011",
3569
 B"11001010", B"11111000", B"00011100", B"00100111", B"11100000",
3570
 B"00011001", B"11001110", B"00110101", B"00110011", B"00100000",
3571
 B"11101110", B"00100001", B"11011111", B"00100011", B"11010110",
3572
 B"11010101", B"11100000", B"00010010", B"11101001", B"00101100",
3573
 B"11110111", B"11101000", B"00001001", B"00001101", B"00011100",
3574
 B"00100100", B"00000101", B"00110010", B"00000111", B"00001010",
3575
 B"00011100", B"00011101", B"00101001", B"11011010", B"00011100",
3576
 B"00001100", B"11010010", B"11100000", B"00000001", B"00101011",
3577
 B"11010010", B"11010100", B"00011010", B"11011000", B"11100000",
3578
 B"11100101", B"11010001", B"00000100", B"00010011", B"00000011",
3579
 B"00001100", B"00000111", B"00100001", B"11111110", B"00011011",
3580
 B"00101000", B"00100011", B"11010100", B"00010011", B"00000000",
3581
 B"11101000", B"11010110", B"00101101", B"00011100", B"00001111",
3582
 B"11010001", B"11011011", B"00011001", B"11111110", B"11101000",
3583
 B"11101110", B"00011001", B"11110010", B"00111000", B"00011101",
3584
 B"11110110", B"00011101", B"00100110", B"11010111", B"11111001",
3585
 B"00011110", B"00110000", B"00011000", B"11101100", B"00110000",
3586
 B"11011010", B"00100100", B"11010100", B"00100101", B"11100000",
3587
 B"11100011", B"11101000", B"11111100", B"00011100", B"11001010",
3588
 B"00011001", B"00011001", B"00011011", B"11101000", B"00110010",
3589
 B"11101011", B"00101110", B"11010101", B"11101011", B"11001110",
3590
 B"00010011", B"11110011", B"00100100", B"11001001", B"11100111",
3591
 B"00100101", B"10111101", B"11001101", B"11010100", B"00111010",
3592
 B"00100101", B"11101000", B"00011010", B"11101000", B"11101101",
3593
 B"01000100", B"00101000", B"00101100", B"11010111", B"00011101",
3594
 B"11000001", B"00101111", B"00011100", B"00101001", B"00101001",
3595
 B"11010001", B"00111010", B"11010110", B"00001011", B"11001101",
3596
 B"00011110", B"00110000", B"11110110", B"11101000", B"00011101",
3597
 B"00001011", B"11101101", B"11101111", B"01000111", B"11110000",
3598
 B"00100110", B"00011011", B"11100010", B"00011110", B"00100000",
3599
 B"11100100", B"11010100", B"00011010", B"00111110", B"11110110",
3600
 B"11001100", B"11101010", B"11011001", B"11011011", B"00011101",
3601
 B"11101001", B"00011000", B"11100100", B"11011011", B"11010110",
3602
 B"00100100", B"00100000", B"11011111", B"00101010", B"00011000",
3603
 B"11100011", B"11100011", B"11011100", B"11000100", B"11101000",
3604
 B"00011001", B"00010001", B"11110000", B"00001010", B"00101000",
3605
 B"11110001", B"11010111", B"00010101", B"11110010", B"00011110",
3606
 B"11011010", B"00011000", B"11101010", B"11100010", B"00010000",
3607
 B"00111000", B"00100101", B"01000000", B"00000110", B"11011010",
3608
 B"00110001", B"11011001", B"00101001", B"11011101", B"00010101",
3609
 B"00100010", B"00101111", B"00100000", B"00100110", B"00110111",
3610
 B"00100101", B"00001111", B"11010111", B"00011110", B"11010010",
3611
 B"00011011", B"00000010", B"11110110", B"00101001", B"00100011",
3612
 B"11100111", B"11011001", B"00000011", B"00110100", B"01000000",
3613
 B"11100111", B"00110101", B"11100010", B"00110001", B"11011000",
3614
 B"11101111", B"11110110", B"11101000", B"00100000", B"11111111",
3615
 B"00011110", B"11110101", B"11011011", B"00010010", B"11100000",
3616
 B"00110000", B"11100010", B"11011101", B"00011011", B"11110100",
3617
 B"11110010", B"11100000", B"11011110", B"00000100", B"00011111",
3618
 B"00011001", B"00011000", B"11110010", B"11010010", B"11100001",
3619
 B"11000101", B"00010000", B"11111011", B"00001000", B"01001011",
3620
 B"00110000", B"11011111", B"00100001", B"00101011", B"11110101",
3621
 B"00101001", B"00100100", B"11111000", B"00001001", B"11110101",
3622
 B"11111001", B"11001101", B"00110001", B"00100101", B"00010101",
3623
 B"00101011", B"11010111", B"11011111", B"00110111", B"11010011",
3624
 B"00010011", B"11100010", B"11101001", B"00100001", B"11100111",
3625
 B"11111110", B"11110100", B"00011110", B"00101100", B"11010110",
3626
 B"00101010", B"11100010", B"00001110", B"11010010", B"00001100",
3627
 B"11010101", B"11011100", B"11011101", B"00010010", B"00100011",
3628
 B"00100100", B"11100111", B"11001001", B"11011011", B"11100110",
3629
 B"00011101", B"11100110", B"00011000", B"00100011", B"00011110",
3630
 B"11010101", B"11100001", B"00010100", B"00010101", B"11111110",
3631
 B"11110000", B"11011011", B"11010101", B"00100111", B"11000010",
3632
 B"00011000", B"11100100", B"11011111", B"00101010", B"11011100",
3633
 B"11111000", B"00100101", B"00101011", B"00100010", B"00111100",
3634
 B"11010110", B"00000101", B"11001100", B"00011010", B"11100110",
3635
 B"11011100", B"11101101", B"00001101", B"00010110", B"11101110",
3636
 B"11011110", B"11010100", B"10111100", B"00100110", B"00110100",
3637
 B"10111110", B"00101000", B"11111001", B"11100010", B"11111001",
3638
 B"11111010", B"00001100", B"00110001", B"11100000", B"00011000",
3639
 B"11100111", B"00101100", B"00011100", B"00011111", B"11011101",
3640
 B"00011110", B"11000000", B"00101101", B"11001001", B"00011111",
3641
 B"11010101", B"00111101", B"11011010", B"11011011", B"11011101",
3642
 B"00100010", B"11110111", B"11011100", B"11010000", B"11001010",
3643
 B"00011010", B"00111110", B"11010000", B"00101010", B"11100101",
3644
 B"11010001", B"00011011", B"00101111", B"00101111", B"11101100",
3645
 B"00011000", B"11100000", B"00010100", B"00011000", B"11011100",
3646
 B"11001111", B"11011110", B"11010011", B"00010111", B"11100000",
3647
 B"00110010", B"00011011", B"00010011", B"11010001", B"00011110",
3648
 B"00011011", B"11110000", B"11000101", B"00101001", B"00111000",
3649
 B"11101101", B"11010101", B"00101101", B"11011100", B"11001001",
3650
 B"11101011", B"11011100", B"00001101", B"11101111", B"10110111",
3651
 B"00000101", B"11110000", B"00101100", B"11001110", B"00010110",
3652
 B"11101111", B"00011111", B"11100010", B"11011100", B"11100110",
3653
 B"00010010", B"00101101", B"11011110", B"00010010", B"11010010",
3654
 B"00001100", B"00101001", B"11011101", B"00101001", B"00011110",
3655
 B"11110101", B"11011011", B"11100101", B"11111111", B"00011100",
3656
 B"00101000", B"11001111", B"00101011", B"11110110", B"00010010",
3657
 B"00010111", B"11011110", B"00001100", B"00011001", B"11100001",
3658
 B"11010111", B"00000111", B"00011110", B"00101100", B"00001111",
3659
 B"11001010", B"00011100", B"11100001", B"00101001", B"11011100",
3660
 B"11010110", B"11100100", B"11101110", B"00100000", B"00000101",
3661
 B"11001101", B"00101101", B"11011110", B"00011100", B"11100000",
3662
 B"11001011", B"00011111", B"11011001", B"11010101", B"11111111",
3663
 B"11111100", B"11100111", B"00101100", B"11001110", B"00010100",
3664
 B"11010100", B"11010000", B"00101011", B"00100011", B"00101001",
3665
 B"11101000", B"00111010", B"00000010", B"11011100", B"11110111",
3666
 B"00010000", B"00101001", B"11101010", B"00001010", B"00001100",
3667
 B"00101110", B"11100111", B"00010101", B"11101000", B"00010000",
3668
 B"00100110", B"11100111", B"11100010", B"00101011", B"00000011",
3669
 B"11011010", B"11101010", B"11111001", B"11101101", B"00010010",
3670
 B"11011001", B"00101011", B"11010010", B"11110011", B"00001011",
3671
 B"00101110", B"00101100", B"11100110", B"00100001", B"11110011",
3672
 B"11110010", B"11110000", B"00011010", B"00101011", B"11010000",
3673
 B"11100011", B"00100100", B"00010111", B"00011001", B"00110100",
3674
 B"11100001", B"11010111", B"00001101", B"01000110", B"00011000",
3675
 B"11101011", B"00011001", B"11010111", B"00010100", B"11110100",
3676
 B"11010110", B"00001011", B"00110010", B"11011101", B"11010100",
3677
 B"11011001", B"11001010", B"11101000", B"11100011", B"11001100",
3678
 B"00000001", B"00001100", B"00010010", B"11101100", B"00000000",
3679
 B"11110010", B"11010011", B"00110101", B"00011101", B"00001010",
3680
 B"11001101", B"00010110", B"11101111", B"00111010", B"11110101",
3681
 B"00100101", B"00001011", B"00110001", B"11110001", B"00111010",
3682
 B"11101111", B"00101010", B"11010000", B"11101001", B"11001111",
3683
 B"00110101", B"00011010", B"11110110", B"00110000", B"11000111",
3684
 B"00110001", B"11000010", B"01000001", B"11011101", B"11100100",
3685
 B"11110101", B"00001001", B"00100110", B"00101111", B"11110110",
3686
 B"00101010", B"01001011", B"00110100", B"00001101", B"00001101",
3687
 B"00011100", B"11100010", B"00101101", B"00101100", B"00011010",
3688
 B"11101010", B"00011110", B"11011110", B"00100101", B"11101110",
3689
 B"11100010", B"00011100", B"11100001", B"00010010", B"11110100",
3690
 B"00001010", B"00000001", B"11110110", B"11001100", B"00001100",
3691
 B"11011100", B"00011010", B"11011010", B"11111001", B"00101101",
3692
 B"00011000", B"11101000", B"00010111", B"11001001", B"11100000",
3693
 B"11011101", B"00001010", B"00100111", B"00010001", B"00010111",
3694
 B"00100111", B"11000001", B"00010111", B"11001011", B"00000011",
3695
 B"11100110", B"11001001", B"11101001", B"00111010", B"00001010",
3696
 B"00101100", B"00110000", B"11001010", B"11011001", B"11011010",
3697
 B"11000111", B"00000110", B"11011011", B"00101100", B"11111001",
3698
 B"11101010", B"00000110", B"11101010", B"11101011", B"11100100",
3699
 B"00001100", B"00111111", B"11010100", B"01001010", B"11010001",
3700
 B"00011101", B"11101011", B"00111101", B"00011110", B"11110010",
3701
 B"00100001", B"00110101", B"11110000", B"11101111", B"11010010",
3702
 B"00101101", B"11011100", B"00100110", B"11010010", B"11000100",
3703
 B"00100110", B"00010001", B"11000001", B"00011101", B"00100000",
3704
 B"11100011", B"00101000", B"00010011", B"11010010", B"11011101",
3705
 B"00011010", B"11011000", B"11010000", B"11001010", B"00011110",
3706
 B"00101001", B"11001110", B"00100111", B"00011110", B"11110010",
3707
 B"00110000", B"00000111", B"11011000", B"11100010", B"00100001",
3708
 B"11100000", B"11001010", B"11001111", B"00110011", B"00100110",
3709
 B"11011010", B"00001011", B"11010101", B"11100001", B"11110111",
3710
 B"00011011", B"00011110", B"00001001", B"00100010", B"11101111",
3711
 B"11110100", B"00110110", B"11111101", B"11101100", B"00010011",
3712
 B"00001010", B"11100001", B"11010110", B"11110111", B"11011000",
3713
 B"11111111", B"00101000", B"11011110", B"00100011", B"11100000",
3714
 B"11010010", B"00010110", B"00010011", B"00101110", B"00101001",
3715
 B"11110111", B"00010110", B"11011010", B"00011011", B"11101110",
3716
 B"00011110", B"11110101", B"11100011", B"11001110", B"11011000",
3717
 B"00101111", B"11100000", B"00110100", B"00001011", B"00011010",
3718
 B"00010110", B"11110010", B"00111101", B"11100101", B"00101001",
3719
 B"11011111", B"00110000", B"11100101", B"00110011", B"00101100",
3720
 B"11010000", B"00010111", B"00100101", B"11010000", B"00100110",
3721
 B"11100111", B"11101010", B"00100011", B"11101101", B"11010111",
3722
 B"11110101", B"11101101", B"00011101", B"11011000", B"00110001",
3723
 B"00011100", B"11011010", B"00100011", B"00010110", B"11101110",
3724
 B"00100010", B"11001011", B"11110010", B"00101001", B"11011001",
3725
 B"11010110", B"11010100", B"11011100", B"11011001", B"11101110",
3726
 B"11100110", B"00100100", B"00101111", B"11111010", B"00011001",
3727
 B"11101001", B"11010000", B"00110101", B"11010100", B"11000110",
3728
 B"11100100", B"00010011", B"00001001", B"11101110", B"11011000",
3729
 B"00100100", B"11010001", B"00010000", B"11010010", B"11011100",
3730
 B"00010111", B"00010001", B"00100111", B"11010100", B"00001110",
3731
 B"00001001", B"11000111", B"00011001", B"00010100", B"11011100",
3732
 B"11011010", B"00101011", B"11101110", B"11010011", B"11101110",
3733
 B"00110000", B"00111001", B"11011110", B"00011100", B"11011000",
3734
 B"00000111", B"00011101", B"11011000", B"10111010", B"11011010",
3735
 B"11101101", B"11110011", B"00010111", B"11000011", B"00011110",
3736
 B"11010100", B"00000110", B"00011010", B"00101110", B"00111111",
3737
 B"00011000", B"11010111", B"00010011", B"00011010", B"11011110",
3738
 B"11110011", B"00010010", B"11011011", B"11101111", B"00010110",
3739
 B"00111000", B"00010111", B"00011010", B"11100110", B"11101001",
3740
 B"11110111", B"11100001", B"11011100", B"00100010", B"00100011",
3741
 B"11101111", B"00101101", B"11001010", B"00000000", B"00100001",
3742
 B"11100100", B"11101110", B"11111001", B"00011010", B"11101010",
3743
 B"11001100", B"00100000", B"00011010", B"11101101", B"00011100",
3744
 B"00010110", B"11010110", B"11001110", B"00101000", B"00000000",
3745
 B"11000110", B"00110101", B"00011110", B"00010111", B"00100000",
3746
 B"11110001", B"11011011", B"00101000", B"11100100", B"00000111",
3747
 B"00100001", B"11101111", B"11011011", B"11001001", B"11100010",
3748
 B"00101100", B"00100000", B"11011011", B"11100010", B"00100000",
3749
 B"00001000", B"11010011", B"11010100", B"11101100", B"11101100",
3750
 B"11101010", B"00110010", B"00101100", B"11100110", B"00011111",
3751
 B"11100111", B"11100010", B"00110010", B"11100010", B"00011010",
3752
 B"11011101", B"11010111", B"00011011", B"00100011", B"00000011",
3753
 B"11100011", B"00000110", B"11100001", B"11001101", B"00110111",
3754
 B"11001110", B"00100011", B"00110001", B"11011001", B"11110000",
3755
 B"11101111", B"11011110", B"00001010", B"11111000", B"00100110",
3756
 B"00010110", B"00011001", B"11010101", B"00110100", B"00101000",
3757
 B"11101101", B"11100010", B"11111111", B"11001101", B"11100100",
3758
 B"00100011", B"11011100", B"00001110", B"11011111", B"11011011",
3759
 B"00001110", B"11011101", B"01000000", B"00010100", B"00001001",
3760
 B"11110001", B"00001111", B"11110011", B"00101111", B"11011010",
3761
 B"11111001", B"11001010", B"11011110", B"00111111", B"00000000",
3762
 B"00111010", B"00100101", B"11001111", B"00011000", B"11101000",
3763
 B"00011010", B"11001001", B"11110111", B"00011100", B"00011000",
3764
 B"11010100", B"11110100", B"00011110", B"11000110", B"00100110",
3765
 B"11010000", B"11011101", B"00011101", B"11010111", B"00110100",
3766
 B"01000000", B"11110100", B"11100000", B"11011111", B"11100110",
3767
 B"11100110", B"11100111", B"00011100", B"00110001", B"11100010",
3768
 B"00100011", B"11100010", B"11100000", B"11111111", B"00100111",
3769
 B"11100000", B"11100100", B"00010010", B"11001001", B"11000010",
3770
 B"11100110", B"11100101", B"11101001", B"00011001", B"11011111",
3771
 B"00101110", B"11001100", B"11101011", B"00010001", B"11111001",
3772
 B"00101111", B"00011011", B"11011100", B"11010011", B"00001001",
3773
 B"00100011", B"11100000", B"11110000", B"00011111", B"00011001",
3774
 B"11100001", B"00000100", B"00100111", B"11101101", B"11100100",
3775
 B"00011101", B"11010010", B"00100001", B"00011000", B"11010100",
3776
 B"11011001", B"11011100", B"11100010", B"11100011", B"00011111",
3777
 B"00010011", B"00001110", B"00011110", B"00100011", B"00011011",
3778
 B"00010100", B"00110000", B"00101000", B"11001101", B"00100000",
3779
 B"11111010", B"11100111", B"11100101", B"00011101", B"00110101",
3780
 B"11111110", B"11010101", B"00011101", B"00011010", B"11101011",
3781
 B"00100110", B"00010001", B"11101101", B"10111110", B"00010110",
3782
 B"11010101", B"00101100", B"11100100", B"11010010", B"00000110",
3783
 B"00001100", B"11101100", B"11011110", B"11110001", B"00101001",
3784
 B"00110100", B"11001100", B"00100010", B"11101000", B"11011101",
3785
 B"00101101", B"00001001", B"00000101", B"11110010", B"11100000",
3786
 B"11100011", B"11011001", B"00010000", B"11100011", B"11001010",
3787
 B"00010011", B"11011000", B"11101011", B"11011111", B"11101110",
3788
 B"11001111", B"00011001", B"11001100", B"11110111", B"11100010",
3789
 B"00110010", B"00001100", B"11011111", B"11100011", B"00111100",
3790
 B"00100010", B"00011001", B"11101001", B"00100110", B"11100110",
3791
 B"00110011", B"11011101", B"11000010", B"00111010", B"11100000",
3792
 B"00100110", B"11111011", B"11100111", B"00010101", B"11010100",
3793
 B"00011100", B"11011010", B"00101111", B"11011101", B"11011001",
3794
 B"00110101", B"11111110", B"00011111", B"00100000", B"11101011",
3795
 B"00101111", B"00011000", B"11001110", B"11011000", B"00101011",
3796
 B"11010010", B"11101111", B"11011001", B"11010110", B"00011001",
3797
 B"00011110", B"00010101", B"00110110", B"11010110", B"00101001",
3798
 B"00110010", B"00011100", B"11100010", B"00100111", B"11010100",
3799
 B"00100111", B"11110010", B"00100110", B"11010011", B"11001100",
3800
 B"11100101", B"00110100", B"00100011", B"11100011", B"00001111",
3801
 B"11000101", B"11001000", B"00101001", B"11100010", B"11011001",
3802
 B"11010101", B"11011000", B"11111101", B"00111000", B"00100011",
3803
 B"00100101", B"11110111", B"00100101", B"11011101", B"00110001",
3804
 B"11011110", B"00001011", B"11011100", B"00001110", B"11011100",
3805
 B"11011101", B"00110001", B"00101000", B"00110111", B"00001000",
3806
 B"11010111", B"00011100", B"00011011", B"11100010", B"11011000",
3807
 B"00110100", B"11011010", B"11011001", B"00100001", B"00010111",
3808
 B"00111001", B"00101111", B"11001110", B"11000100", B"00010101",
3809
 B"00101010", B"00011000", B"00001111", B"00011011", B"00010110",
3810
 B"00100110", B"01000000", B"11100101", B"00011100", B"00100101",
3811
 B"11011111", B"11011000", B"11101111", B"11101010", B"11100001",
3812
 B"00101001", B"11011100", B"11011101", B"00100101", B"11010100",
3813
 B"11010011", B"11011111", B"11011111", B"11101011", B"11100001",
3814
 B"11011001", B"11110111", B"00010111", B"00100011", B"00110010",
3815
 B"00011100", B"11001110", B"00001111", B"00110000", B"00101001",
3816
 B"11011000", B"00101100", B"11011100", B"00010011", B"00110110",
3817
 B"11010111", B"00101101", B"00011110", B"11010010", B"00001101",
3818
 B"00010100", B"11000011", B"11100100", B"11100000", B"11001011",
3819
 B"11111100", B"00110100", B"00101000", B"00100000", B"00001001",
3820
 B"11110110", B"11110101", B"11110001", B"11011011", B"00101101",
3821
 B"11011100", B"10111011", B"00001001", B"11101100", B"00101110",
3822
 B"11001001", B"11011011", B"10111110", B"00011101", B"00110010",
3823
 B"11101111", B"00001101", B"11110111", B"00001011", B"00011010",
3824
 B"00100111", B"00010101", B"00010001", B"00001100", B"11011000",
3825
 B"00011000", B"11000000", B"00001101", B"11111110", B"11100000",
3826
 B"00110011", B"00111000", B"00100001", B"00110001", B"00110011",
3827
 B"11101000", B"00100000", B"11011011", B"00100100", B"11100111",
3828
 B"00101001", B"00010110", B"11001010", B"11010110", B"00000011",
3829
 B"00010110", B"11011101", B"11011100", B"00000011", B"00100010",
3830
 B"11100100", B"11100010", B"11101000", B"11101110", B"01000100",
3831
 B"11100110", B"11101110", B"00011000", B"11100011", B"00000000",
3832
 B"11010100", B"00001111", B"00011110", B"11010010", B"00111100",
3833
 B"00011100", B"11011011", B"00011100", B"00011101", B"11011101",
3834
 B"11101001", B"00100010", B"00101000", B"11100110", B"11001001",
3835
 B"11110000", B"11010101", B"11110010", B"11110001", B"11100111",
3836
 B"11011010", B"00011111", B"11011000", B"00011011", B"11011001",
3837
 B"11110110", B"00001100", B"00011111", B"11011111", B"11111100",
3838
 B"00010001", B"00100001", B"11101011", B"00011110", B"11010101",
3839
 B"00011110", B"11100110", B"11011100", B"11010011", B"00101000",
3840
 B"00100111", B"11011110", B"00110010", B"11100110", B"00100110",
3841
 B"11110000", B"00101101", B"11110011", B"11100000", B"11101011",
3842
 B"00110100", B"00011110", B"11101100", B"00101000", B"11101010",
3843
 B"11111110", B"11001111", B"11100011", B"00101101", B"00100001",
3844
 B"11100110", B"11010101", B"00100011", B"00100110", B"00111101",
3845
 B"00100111", B"11100111", B"11011001", B"11010111", B"00011011",
3846
 B"10111101", B"00111011", B"11100011", B"11001001", B"01000101",
3847
 B"00000101", B"11011100", B"11101010", B"10110001", B"00001001",
3848
 B"00011101", B"11011011", B"00110101", B"11101110", B"11010011",
3849
 B"11010001", B"00011011", B"11011000", B"00100001", B"11100010",
3850
 B"11100100", B"00011111", B"00100110", B"00101100", B"00001111",
3851
 B"11000100", B"11110001", B"11100000", B"00110000", B"11011110",
3852
 B"00000100", B"11100111", B"00011111", B"11001010", B"11010011",
3853
 B"11011101", B"00100101", B"00010011", B"00011101", B"00100110",
3854
 B"00001001", B"11010110", B"00101000", B"11011011", B"00001100",
3855
 B"11110001", B"00101111", B"11110010", B"11100011", B"00011000",
3856
 B"11111001", B"11000011", B"11100110", B"11110101", B"11100101",
3857
 B"11011001", B"00001111", B"11010111", B"00111001", B"11100101",
3858
 B"10111010", B"00101110", B"11111111", B"11100000", B"11101101",
3859
 B"11100001", B"11110001", B"00001100", B"11101101", B"00101110",
3860
 B"11011110", B"11010111", B"00011001", B"00010011", B"00100000",
3861
 B"00100111", B"11100110", B"11100100", B"11101011", B"11100110",
3862
 B"11110001", B"11100001", B"00001110", B"00101010", B"01000000",
3863
 B"00110000", B"11011001", B"11011000", B"11101011", B"11000011",
3864
 B"11111111", B"00111000", B"00100000", B"00011001", B"00100001",
3865
 B"00101010", B"11100111", B"11011111", B"11111110", B"00100101",
3866
 B"11001010", B"11000011", B"00000010", B"11001111", B"11111100",
3867
 B"11010010", B"11100100", B"00101101", B"11000010", B"00100010",
3868
 B"00100010", B"00001010", B"00101110", B"00110101", B"00000100",
3869
 B"00000101", B"00011101", B"00100011", B"00110000", B"11010111",
3870
 B"11011000", B"00101111", B"11011001", B"11111110", B"11101010",
3871
 B"11100100", B"00100011", B"11011110", B"00101110", B"00010101",
3872
 B"11000100", B"00001110", B"00100111", B"11110101", B"11001010",
3873
 B"00010101", B"00000111", B"11001100", B"11011010", B"11101111",
3874
 B"11000010", B"11101110", B"11101000", B"00001110", B"00001101",
3875
 B"11100000", B"11011011", B"11001110", B"11101000", B"11010011",
3876
 B"00111110", B"11001111", B"00011101", B"00011010", B"11100000",
3877
 B"00011111", B"00100111", B"11011111", B"00011111", B"00001011",
3878
 B"11110011", B"01001001", B"00101001", B"11000111", B"11110101",
3879
 B"00100011", B"10111111", B"00101100", B"11001101", B"11100101",
3880
 B"11001110", B"00001101", B"00000001", B"00001001", B"00101010",
3881
 B"01000101", B"11000010", B"00101010", B"00100000", B"11100111",
3882
 B"11100111", B"00001010", B"11101000", B"11101101", B"00011000",
3883
 B"11110010", B"00010011", B"11001001", B"11101001", B"00010110",
3884
 B"01000100", B"00010110", B"00100100", B"01000011", B"00010010",
3885
 B"00010100", B"00011101", B"00101001", B"11111110", B"11111111",
3886
 B"11101110", B"00001010", B"00001101", B"11100010", B"00101111",
3887
 B"11101111", B"00010001", B"11100010", B"11111010", B"00101001",
3888
 B"11101001", B"00001101", B"11001101", B"11111000", B"11101010",
3889
 B"11010011", B"11011011", B"11010000", B"00110110", B"01000000",
3890
 B"00001101", B"00100011", B"11101000", B"11000111", B"00011100",
3891
 B"11011110", B"00101001", B"11010010", B"11001111", B"00101111",
3892
 B"00100000", B"00001110", B"11010010", B"11101010", B"00010000",
3893
 B"00001101", B"11001111", B"11010010", B"00010110", B"11011111",
3894
 B"11100100", B"11011010", B"11000100", B"00101110", B"10111101",
3895
 B"00000010", B"11001011", B"11110010", B"00100010", B"00011101",
3896
 B"00101101", B"00111011", B"11100110", B"11101101", B"11100011",
3897
 B"11100001", B"11101111", B"00001100", B"00111000", B"11100000",
3898
 B"00100111", B"11111001", B"11011111", B"00011011", B"11101100",
3899
 B"11100100", B"11000101", B"00001010", B"00011101", B"11110001",
3900
 B"11101000", B"11011010", B"00010100", B"11100011", B"00100111",
3901
 B"11001000", B"11101001", B"00010010", B"00100110", B"00101000",
3902
 B"00011011", B"11010111", B"00101010", B"11011111", B"00011011",
3903
 B"11001001", B"10111000", B"11011001", B"00010101", B"11110110",
3904
 B"00101100", B"11011000", B"11100001", B"00001111", B"00110000",
3905
 B"00101111", B"11011110", B"11010011", B"00100000", B"00101000",
3906
 B"11010101", B"11100001", B"00100010", B"00011110", B"11000011",
3907
 B"00110000", B"11111010", B"11101100", B"11101101", B"00100101",
3908
 B"11011110", B"00001011", B"11101101", B"11011110", B"11111001",
3909
 B"00010111", B"00110000", B"11001111", B"00100000", B"00011100",
3910
 B"11111101", B"11011101", B"00001100", B"00100011", B"11010100",
3911
 B"11010010", B"00101011", B"00101110", B"00111011", B"11000100",
3912
 B"00110100", B"11101001", B"00100011", B"11101110", B"11101011",
3913
 B"11001100", B"00100011", B"00000001", B"00011001", B"00010011",
3914
 B"11101001", B"11001010", B"00100011", B"00001110", B"00100000",
3915
 B"11011000", B"00011101", B"11011100", B"00100111", B"11011110",
3916
 B"11100110", B"00100010", B"11010011", B"11100010", B"11001110",
3917
 B"00011010", B"00100110", B"11001001", B"00100011", B"11111110",
3918
 B"11011111", B"11010011", B"11100011", B"00100001", B"11100101",
3919
 B"00100000", B"00011001", B"11011111", B"00101111", B"11110000",
3920
 B"11100010", B"11011111", B"00101001", B"00100010", B"11001010",
3921
 B"00011110", B"11011111", B"00010111", B"11001011", B"11001000",
3922
 B"00011101", B"00010001", B"00000000", B"00110010", B"11010110",
3923
 B"00001000", B"10111111", B"11110110", B"00101101", B"00010110",
3924
 B"00011011", B"00101001", B"00100100", B"11100000", B"00110100",
3925
 B"11101111", B"00101001", B"11110000", B"00101110", B"00011101",
3926
 B"11010100", B"10110101", B"00010001", B"00100001", B"11011110",
3927
 B"11001000", B"11011100", B"11100101", B"11100011", B"00100011",
3928
 B"00101001", B"11101011", B"00100101", B"11000111", B"00110110",
3929
 B"00110110", B"00011101", B"11111111", B"00111011", B"00100011",
3930
 B"00110101", B"00010000", B"11010000", B"11011110", B"00110010",
3931
 B"00101000", B"00100011", B"00100000", B"11101100", B"11110011",
3932
 B"00101100", B"11011010", B"00001000", B"11001000", B"11011111",
3933
 B"00100011", B"11110010", B"00100011", B"00010110", B"00010110",
3934
 B"00111100", B"11011111", B"00101101", B"11101100", B"00010111",
3935
 B"11011111", B"11110100", B"00110011", B"00011100", B"00101001",
3936
 B"11101101", B"00011100", B"11100001", B"00101010", B"00011010",
3937
 B"00011101", B"11101010", B"00110111", B"00010111", B"00000011",
3938
 B"11011110", B"00100001", B"01010011", B"00011000", B"00101000",
3939
 B"00100111", B"00100101", B"00100010", B"00011100", B"00101001",
3940
 B"11101001", B"11010110", B"11100100", B"10111110", B"00110011",
3941
 B"00011000", B"00101001", B"00011101", B"00110100", B"00101011",
3942
 B"11101010", B"00101001", B"00111000", B"11100001", B"11011110",
3943
 B"00100110", B"11110100", B"11101000", B"11011100", B"00100110",
3944
 B"00101010", B"11001000", B"00001011", B"11011101", B"00100010",
3945
 B"11011000", B"11100010", B"11011111", B"11101100", B"00011000",
3946
 B"11100001", B"00010001", B"11111101", B"11010001", B"00110101",
3947
 B"11110010", B"00110001", B"11110011", B"11100000", B"00101011",
3948
 B"00101010", B"00110110", B"11011011", B"11110010", B"00100010",
3949
 B"00110010", B"10111100", B"11101100", B"11111010", B"11101010",
3950
 B"00011110", B"11110001", B"00001111", B"11100100", B"11011111",
3951
 B"00001110", B"11000001", B"00100000", B"00010101", B"11110100",
3952
 B"11110011", B"11010011", B"11101101", B"11001101", B"00110010",
3953
 B"00011110", B"00100001", B"10111101", B"00100110", B"11011111",
3954
 B"00110100", B"11110101", B"00111011", B"11111010", B"11101000",
3955
 B"11001100", B"11100110", B"00001111", B"11101001", B"00010010",
3956
 B"11010100", B"00101001", B"11011100", B"11000101", B"11011101",
3957
 B"00100001", B"00011111", B"11100000", B"00101110", B"11110111",
3958
 B"00011100", B"11110100", B"11101000", B"11110010", B"00001010",
3959
 B"00111000", B"00101001", B"11101100", B"11100001", B"11001111",
3960
 B"00001000", B"00011000", B"11101001", B"00010000", B"00100010",
3961
 B"00010111", B"11011111", B"00010101", B"00010110", B"10111010",
3962
 B"11101101", B"00001000", B"00100101", B"11100010", B"00010101",
3963
 B"00011000", B"11101001", B"00010100", B"00010010", B"11011100",
3964
 B"00101110", B"00111000", B"11100001", B"11110100", B"00100000",
3965
 B"00000101", B"11010010", B"11011110", B"11100000", B"00011010",
3966
 B"11001000", B"11011011", B"11101011", B"00100110", B"00011100",
3967
 B"11100000", B"11001111", B"11011001", B"00000000", B"00100000",
3968
 B"00101010", B"11101000", B"00001100", B"11110011", B"11001000",
3969
 B"11000101", B"11011110", B"00101110", B"00010000", B"11101010",
3970
 B"00101100", B"11100110", B"11100001", B"11010001", B"11110011",
3971
 B"00001101", B"00011000", B"00010100", B"00010000", B"00001100",
3972
 B"11101111", B"11110000", B"00110011", B"11101101", B"00110000",
3973
 B"11100011", B"11010000", B"00100101", B"11010111", B"11001100",
3974
 B"11100101", B"00101000", B"00000111", B"11010110", B"00101010",
3975
 B"11100100", B"11101100", B"00010000", B"00101010", B"11010010",
3976
 B"11001011", B"11100110", B"11001100", B"11011000", B"11100010",
3977
 B"00011101", B"11100110", B"11111001", B"11000101", B"00100101",
3978
 B"00100111", B"11011001", B"00011000", B"11000101", B"11111001",
3979
 B"00010011", B"11101110", B"00110100", B"00100111", B"11110001",
3980
 B"00111010", B"00011000", B"11100000", B"11011000", B"00001101",
3981
 B"00000100", B"11100111", B"11111100", B"11110000", B"00010101",
3982
 B"00001101", B"11010101", B"11100010", B"10111011", B"11011110",
3983
 B"11100100", B"00101110", B"00101000", B"11101101", B"11011110",
3984
 B"00100101", B"00001111", B"11111010", B"11011110", B"11110011",
3985
 B"11110011", B"11010010", B"11110001", B"00110100", B"00011001",
3986
 B"00011110", B"00011100", B"00001100", B"11001111", B"00010101",
3987
 B"00010101", B"11011110", B"00100111", B"00100101", B"11100100",
3988
 B"11101011", B"00011101", B"00011001", B"00101000", B"11100000",
3989
 B"00100000", B"11101010", B"00001110", B"11010101", B"11101101",
3990
 B"11011100", B"00110000", B"11111101", B"11100101", B"00011110",
3991
 B"11011000", B"11011100", B"01000101", B"00100110", B"11010011",
3992
 B"11100110", B"11100011", B"11110110", B"11110010", B"11101111",
3993
 B"11000000", B"11011101", B"11011101", B"00110110", B"00110010",
3994
 B"00101010", B"00100100", B"11001000", B"11110110", B"11011111",
3995
 B"00100010", B"00100101", B"11011010", B"00110101", B"11100101",
3996
 B"11010011", B"11010010", B"00110000", B"00100001", B"00011101",
3997
 B"00001101", B"11100000", B"11011101", B"11100011", B"00011101",
3998
 B"11010001", B"11001011", B"11100110", B"00100100", B"00011010",
3999
 B"11111000", B"00010110", B"11010101", B"11011001", B"11011101",
4000
 B"11101100", B"00100110", B"11011100", B"00110110", B"11010001",
4001
 B"00100100", B"00010010", B"11011010", B"11101111", B"11010101",
4002
 B"11111001", B"11101111", B"00011000", B"00110101", B"00101101",
4003
 B"00110110", B"00010101", B"00011110", B"00001111", B"00101010",
4004
 B"00010100", B"11010110", B"00011110", B"00101001", B"11110001",
4005
 B"00001111", B"00010000", B"11011001", B"11101011", B"00001111",
4006
 B"11011000", B"00100000", B"11110001", B"11100101", B"00100111",
4007
 B"00100010", B"00100100", B"11100010", B"00001001", B"11011000",
4008
 B"11011111", B"11111101", B"00101111", B"00100110", B"00111100",
4009
 B"00010110", B"11100100", B"00101101", B"00000010", B"11100101",
4010
 B"11011000", B"11101110", B"11100000", B"00000101", B"00100001",
4011
 B"11010001", B"11100111", B"11010001", B"11110000", B"11011011",
4012
 B"00011111", B"11011111", B"11011010", B"00100001", B"11110100",
4013
 B"11111110", B"11001101", B"11100100", B"00010110", B"11011000",
4014
 B"11001000", B"11100001", B"11001001", B"00011010", B"11101010",
4015
 B"00100101", B"11101100", B"11101001", B"11110001", B"11010100",
4016
 B"00010111", B"00110100", B"00000110", B"00110001", B"00001111",
4017
 B"10111011", B"11011001", B"11101111", B"11110001", B"00101100",
4018
 B"11100111", B"00011000", B"00101110", B"11011110", B"11011010",
4019
 B"00011101", B"11001110", B"11011000", B"11001101", B"00001100",
4020
 B"11011111", B"00110110", B"01000011", B"00011111", B"11010000",
4021
 B"00010111", B"11101111", B"00101111", B"11110100", B"11011000",
4022
 B"00100110", B"11011101", B"00100000", B"11001100", B"11011001",
4023
 B"00011011", B"00110011", B"00010001", B"11010001", B"11100000",
4024
 B"00101011", B"00011111", B"11001110", B"11100000", B"00101000",
4025
 B"11101010", B"11110000", B"11010100", B"11010101", B"00010011",
4026
 B"11100010", B"00101001", B"11001100", B"11110011", B"00101010",
4027
 B"11110100", B"00100000", B"11010000", B"11110001", B"00011000",
4028
 B"11100000", B"00101010", B"11110111", B"11110100", B"11110111",
4029
 B"00010001", B"00000010", B"11001001", B"00000110", B"00111001",
4030
 B"00100111", B"00100000", B"00101111", B"00101110", B"00101100",
4031
 B"00010111", B"00100011", B"00101101", B"00011000", B"00011001",
4032
 B"00100011", B"00100010", B"00000000", B"00011111", B"11000011",
4033
 B"11110110", B"00011111", B"11100101", B"00101110", B"11011011",
4034
 B"11100000", B"00001110", B"00011110", B"11001101", B"00011111",
4035
 B"00100000", B"11011011", B"00011010", B"00011110", B"11100110",
4036
 B"11010110", B"11010001", B"11100111", B"00000111", B"00101101",
4037
 B"11101110", B"00100110", B"11010011", B"11111111", B"11100000",
4038
 B"11010111", B"00101001", B"11011010", B"11101110", B"11010010",
4039
 B"11100001", B"11100000", B"00011000", B"11100101", B"00101011",
4040
 B"11010001", B"11101010", B"00010101", B"00001110", B"11110110",
4041
 B"11101111", B"00100011", B"00110111", B"11100011", B"00101001",
4042
 B"00011110", B"11001110", B"11011101", B"10110011", B"00000010",
4043
 B"11111000", B"00011110", B"00100111", B"00101000", B"00101001",
4044
 B"11001111", B"00000110", B"11001110", B"00010111", B"11001011",
4045
 B"11101010", B"00100011", B"00101001", B"11010010", B"11011000",
4046
 B"00011010", B"11001111", B"00100000", B"11001010", B"11010001",
4047
 B"00011011", B"11100010", B"11100001", B"00001000", B"11101101",
4048
 B"00011111", B"11011011", B"11100101", B"00001101", B"00011001",
4049
 B"11100000", B"11100100", B"01000101", B"11011001", B"11101010",
4050
 B"11001001", B"11100110", B"11010111", B"11101001", B"00011010",
4051
 B"00101001", B"00011111", B"00111101", B"11110000", B"11001000"
4052
 
4053
);
4054
 
4055
signal input_counter : integer range 0 to 19999 := 0;
4056
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4057
signal clk : bit;
4058
 
4059
begin
4060
 
4061
process (clk, clear)
4062
begin
4063
if (clear = '1') then
4064
    rxin <= (others => '0');
4065
elsif (clk = '1' and clk'event) then
4066
    rxin <= input_bank(input_counter);
4067
end if;
4068
end process;
4069
 
4070
process (clk, clear)
4071
begin
4072
if (clear = '1') then
4073
    input_counter <= 0;
4074
elsif (clk = '1' and clk'event) then
4075
    if (input_counter < 19999) then
4076
    input_counter <= input_counter + 1;
4077
    else
4078
    input_counter <= 0;
4079
    end if;
4080
end if;
4081
end process;
4082
 
4083
rom_pos <= input_counter;
4084
 
4085
process (clk, clear)
4086
begin
4087
if (clear = '1') then
4088
    start_fifo <= B"0100_0000";
4089
elsif ( clk = '1' and clk'event) then
4090
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4091
end if;
4092
end process;
4093
 
4094
clk   <= clock;
4095
start <= start_fifo (7);
4096
 
4097
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.