OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input9DB.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arif_endro
-- ------------------------------------------------------------------------
2 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
3 18 arif_endro
-- All rights reserved.
4 9 arif_endro
-- 
5 18 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 9 arif_endro
-- 
9 18 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 9 arif_endro
-- 
15 18 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 9 arif_endro
-- 
27 18 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 9 arif_endro
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.std_logic_arith.all;
33
use ieee.std_logic_unsigned.all;
34
 
35
entity input is
36
   port (
37
      clock   : in  bit;
38
      clear   : in  bit;
39
      start   : out bit;
40
      rom_pos : out integer;
41
      rxin    : out bit_vector (07 downto 00)
42
      );
43
end input;
44
 
45
architecture test_bench of input is
46
 
47
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
48
 
49
constant input_bank : rom_bank :=
50
(
51
 
52
 B"00110000", B"00100100", B"11100010", B"00101010", B"00100100",
53
 B"11100101", B"11101101", B"00011111", B"00101001", B"00100001",
54
 B"00011010", B"11011001", B"00101101", B"11100100", B"00001010",
55
 B"11011001", B"11011110", B"11011110", B"00010111", B"11010111",
56
 B"00010000", B"11011110", B"10111000", B"00010111", B"11011001",
57
 B"00011111", B"11011101", B"11100111", B"11101001", B"00110000",
58
 B"00100011", B"11011001", B"11101010", B"00011010", B"00011011",
59
 B"00010110", B"11100100", B"00100010", B"11100110", B"00101001",
60
 B"11110001", B"00100110", B"11011001", B"11100100", B"11011001",
61
 B"00010101", B"00011011", B"11111101", B"11001110", B"11101011",
62
 B"00011111", B"11010010", B"00100010", B"11010001", B"11100100",
63
 B"00010001", B"11010111", B"11110010", B"00101010", B"00010001",
64
 B"00100101", B"00010110", B"11100110", B"11100000", B"00100011",
65
 B"00011011", B"11100011", B"00010110", B"00100100", B"11100000",
66
 B"11011010", B"00100101", B"00100001", B"00011000", B"11101000",
67
 B"11101010", B"00110011", B"00001110", B"11101101", B"11101000",
68
 B"11100000", B"11111010", B"00010011", B"00001100", B"00100100",
69
 B"00011100", B"11101110", B"11110010", B"11001111", B"00110010",
70
 B"11100110", B"00011100", B"11100011", B"11101001", B"00011101",
71
 B"00010010", B"11101001", B"00010001", B"00101011", B"11101010",
72
 B"11010011", B"11011101", B"11011010", B"11001101", B"11100101",
73
 B"11010110", B"11010010", B"11011110", B"00011101", B"00011001",
74
 B"00010011", B"00010101", B"00011111", B"11100010", B"11010000",
75
 B"00011001", B"11100101", B"11010111", B"11100111", B"11110110",
76
 B"00001011", B"00101011", B"11100011", B"00011000", B"00100011",
77
 B"11100101", B"11010110", B"00011101", B"11100011", B"11100000",
78
 B"00101000", B"00011011", B"00101101", B"00010000", B"11011110",
79
 B"11011001", B"11101001", B"00100101", B"00011001", B"00010111",
80
 B"11110010", B"00011011", B"11110001", B"00001111", B"00011000",
81
 B"00010011", B"00100010", B"11101011", B"00010110", B"11011011",
82
 B"00101001", B"11010010", B"11011111", B"00011100", B"11010001",
83
 B"00111111", B"11011101", B"11011011", B"00100000", B"00100010",
84
 B"00011001", B"11011110", B"11100101", B"00011011", B"11011001",
85
 B"11010001", B"11101111", B"11101110", B"11011001", B"00010011",
86
 B"11011101", B"11111000", B"11101011", B"00010000", B"00010000",
87
 B"11011111", B"00111000", B"11011000", B"00101110", B"11010111",
88
 B"11101011", B"11010010", B"00011111", B"00010101", B"00100110",
89
 B"00011000", B"00101010", B"11011011", B"00011010", B"11100010",
90
 B"00101101", B"11101101", B"11101011", B"11100100", B"00110001",
91
 B"00110000", B"00100100", B"00010111", B"11100001", B"11100010",
92
 B"11010000", B"00100011", B"00100101", B"11011011", B"11011100",
93
 B"11011101", B"11101100", B"11100110", B"11011000", B"11110001",
94
 B"00001111", B"00010011", B"00011001", B"00111110", B"11011011",
95
 B"11110011", B"11011011", B"00011110", B"00011000", B"00100001",
96
 B"11011001", B"00011001", B"11001100", B"00010011", B"00101100",
97
 B"11011001", B"11011001", B"00011011", B"11100011", B"11011101",
98
 B"11100010", B"11011011", B"11101010", B"11101001", B"00011010",
99
 B"11011110", B"00101101", B"11011110", B"11011101", B"00011010",
100
 B"00010110", B"00100010", B"00100100", B"00110000", B"00011110",
101
 B"00011101", B"00100001", B"00010101", B"00010111", B"11011011",
102
 B"00011110", B"00101110", B"11011000", B"00101101", B"00101100",
103
 B"11011110", B"00100101", B"00001011", B"00010000", B"00100100",
104
 B"00011011", B"00100001", B"00100010", B"00001010", B"00011010",
105
 B"11001110", B"11011001", B"00010110", B"11100110", B"11011001",
106
 B"11101010", B"11011011", B"11010011", B"11100100", B"00010111",
107
 B"11110010", B"00010110", B"11110000", B"11100001", B"00010011",
108
 B"11110010", B"00011011", B"11110101", B"11100011", B"11011000",
109
 B"00101000", B"00110101", B"11101001", B"00011011", B"00011010",
110
 B"00100000", B"00010101", B"00010101", B"00011110", B"00010010",
111
 B"00010001", B"11001101", B"00011101", B"00101011", B"11011110",
112
 B"11010100", B"11101100", B"11100100", B"11011010", B"11010101",
113
 B"00010111", B"00101001", B"11100011", B"11100000", B"11010111",
114
 B"11100000", B"11110001", B"11011101", B"00101001", B"00011011",
115
 B"00101101", B"11100100", B"00010110", B"11010111", B"00011101",
116
 B"00100110", B"11100111", B"11010101", B"00100101", B"11011100",
117
 B"11110100", B"11100011", B"11101100", B"11110000", B"11011110",
118
 B"00100011", B"00011000", B"00100101", B"00010001", B"11011101",
119
 B"11011010", B"00011111", B"11011000", B"11100111", B"00100011",
120
 B"11110000", B"11101011", B"11010001", B"11010111", B"11101011",
121
 B"11010110", B"00011111", B"11110011", B"00011110", B"11100011",
122
 B"11101100", B"00100100", B"11110001", B"11100110", B"00001111",
123
 B"11011001", B"00010000", B"11101101", B"11010110", B"00011010",
124
 B"11011000", B"00010010", B"11100101", B"11010011", B"11011000",
125
 B"00100110", B"00011011", B"11101011", B"00010101", B"11011110",
126
 B"00100010", B"11011111", B"11011100", B"11100111", B"00101100",
127
 B"00011110", B"11100000", B"11011111", B"00100011", B"11010100",
128
 B"00100010", B"11011100", B"11101010", B"00101000", B"11100010",
129
 B"11011111", B"11011000", B"11011100", B"00011001", B"00101000",
130
 B"00100111", B"00011110", B"00011010", B"11101110", B"11100110",
131
 B"00110110", B"11101101", B"11100010", B"11100000", B"11110000",
132
 B"11100011", B"00011000", B"00011101", B"00100100", B"11010110",
133
 B"00101100", B"11100111", B"00011110", B"00101100", B"00101100",
134
 B"11011000", B"00100110", B"00100101", B"11101011", B"11010000",
135
 B"00000001", B"11111000", B"11011010", B"11110100", B"11101111",
136
 B"00100111", B"00011000", B"00100100", B"00101011", B"00101111",
137
 B"11100010", B"11010000", B"00101001", B"11101011", B"11100101",
138
 B"11001100", B"11010011", B"00011101", B"00011001", B"00100000",
139
 B"00010101", B"00101000", B"00100000", B"00110010", B"00100100",
140
 B"11101010", B"11101010", B"11011101", B"00011101", B"00100101",
141
 B"11110000", B"00100010", B"11010101", B"00010110", B"00101100",
142
 B"00101110", B"11110001", B"00011011", B"11101011", B"00100000",
143
 B"11110010", B"00101010", B"11010101", B"00110010", B"11010010",
144
 B"11010110", B"11011101", B"00011011", B"00100111", B"00110000",
145
 B"11010111", B"11010110", B"11011011", B"11010100", B"00100010",
146
 B"11110001", B"00101110", B"11011011", B"11000111", B"11011111",
147
 B"11011110", B"00011110", B"00100000", B"00011100", B"00101001",
148
 B"00100001", B"11111000", B"00011001", B"00011010", B"11101001",
149
 B"00100101", B"00011111", B"11010111", B"11001110", B"00010101",
150
 B"11011011", B"00100111", B"11011000", B"11001011", B"00011111",
151
 B"00101101", B"11101001", B"00110011", B"11101000", B"11010111",
152
 B"11000111", B"00010000", B"00010110", B"11011101", B"00101011",
153
 B"11101001", B"11010101", B"11101000", B"11011011", B"00110101",
154
 B"11100001", B"00101011", B"00011010", B"00101001", B"11011010",
155
 B"11100000", B"00100010", B"00101010", B"11001110", B"11100101",
156
 B"11100011", B"11101110", B"00100001", B"11100000", B"00101001",
157
 B"11011110", B"11001111", B"00100001", B"11011001", B"00101010",
158
 B"00110011", B"00101100", B"11011001", B"00100000", B"11010110",
159
 B"00010111", B"11101000", B"00011000", B"00100011", B"00100101",
160
 B"11100100", B"00100011", B"11101110", B"00011111", B"00110001",
161
 B"00010010", B"00010111", B"11001001", B"00011011", B"11101110",
162
 B"00010100", B"11010110", B"11100110", B"00110111", B"00110110",
163
 B"00000111", B"11100100", B"00101110", B"11010100", B"00011100",
164
 B"11100100", B"11010000", B"11010010", B"00100101", B"00111000",
165
 B"11001111", B"00100110", B"11010110", B"00011101", B"11011010",
166
 B"11100001", B"00110001", B"11001111", B"11100100", B"11001101",
167
 B"11010111", B"11010110", B"11010110", B"11010110", B"11100000",
168
 B"00111001", B"00001111", B"00101001", B"00010110", B"11101110",
169
 B"11010111", B"00100110", B"11011100", B"00011010", B"11110001",
170
 B"11010110", B"00011110", B"00101111", B"00010100", B"00100011",
171
 B"00011110", B"00100010", B"00001001", B"00011100", B"00100000",
172
 B"00110000", B"00101110", B"00011101", B"00010000", B"00100011",
173
 B"00101001", B"00101000", B"00100011", B"11100100", B"11011001",
174
 B"11111001", B"11010101", B"00101001", B"00100001", B"00101001",
175
 B"00101011", B"11100100", B"00011001", B"11100000", B"11111100",
176
 B"11010110", B"11100110", B"00100111", B"00100101", B"11011010",
177
 B"00010111", B"00011100", B"11001110", B"11011000", B"11011110",
178
 B"11101000", B"11001010", B"00111100", B"00100100", B"11111110",
179
 B"11100100", B"00100101", B"00100101", B"11011111", B"00000010",
180
 B"11101110", B"11011110", B"11100101", B"00100100", B"00100001",
181
 B"11111011", B"00001101", B"11100111", B"00101010", B"00011110",
182
 B"11101000", B"00010011", B"00100011", B"11011010", B"11100100",
183
 B"00110000", B"00010011", B"00010001", B"11001110", B"00010000",
184
 B"00011001", B"11001111", B"11011101", B"00101001", B"00100011",
185
 B"00011010", B"11011000", B"11101011", B"00100000", B"00100110",
186
 B"11011100", B"11011111", B"11111010", B"00010110", B"00100010",
187
 B"00011000", B"11001111", B"00100001", B"11101110", B"00011011",
188
 B"11011101", B"11100000", B"00100111", B"11100001", B"00100000",
189
 B"11001110", B"11100111", B"00101101", B"11100001", B"11001101",
190
 B"00011001", B"11110100", B"00011001", B"11100100", B"11100101",
191
 B"00101011", B"00100011", B"00101000", B"00010111", B"00100010",
192
 B"00101000", B"00101110", B"00011101", B"00110000", B"00011101",
193
 B"00010011", B"00011100", B"00010001", B"00100001", B"00010111",
194
 B"00101001", B"00011101", B"11010111", B"00100110", B"00011000",
195
 B"11100101", B"11100110", B"11100101", B"11101111", B"11101011",
196
 B"11010000", B"00100100", B"11010111", B"00010000", B"11100110",
197
 B"00000001", B"00100000", B"00101000", B"11101110", B"00100010",
198
 B"11100011", B"00101001", B"11101101", B"11011101", B"00100001",
199
 B"00100110", B"00100101", B"00100010", B"00101100", B"00100010",
200
 B"00011010", B"00101011", B"00011011", B"00100100", B"00100101",
201
 B"11101010", B"11010100", B"11100001", B"11011011", B"00100101",
202
 B"11010101", B"00100111", B"00011011", B"00101100", B"00011010",
203
 B"11010011", B"00100011", B"11011111", B"00011010", B"11011111",
204
 B"11011010", B"11011111", B"11011100", B"11100111", B"00101100",
205
 B"00101010", B"00101001", B"00010101", B"11100011", B"00001111",
206
 B"11001110", B"00101100", B"11001101", B"11010111", B"00111011",
207
 B"00101010", B"00101111", B"11000001", B"11100011", B"00001110",
208
 B"11101011", B"11100001", B"11100011", B"11011101", B"00011110",
209
 B"11100101", B"00001010", B"00110010", B"11110110", B"00000110",
210
 B"00100100", B"11110110", B"00100010", B"00010000", B"00011011",
211
 B"00100110", B"00011010", B"00011100", B"00001000", B"00010011",
212
 B"11101001", B"00011001", B"11011110", B"11101011", B"11001111",
213
 B"00100011", B"00011010", B"11010000", B"11101000", B"11101011",
214
 B"00011101", B"00110101", B"00101011", B"00010000", B"11010110",
215
 B"11010010", B"00011100", B"00100111", B"00010111", B"00100000",
216
 B"00110001", B"00011100", B"00011101", B"00101000", B"00010101",
217
 B"00011000", B"11010110", B"11010111", B"00101110", B"00010011",
218
 B"11100001", B"11101000", B"11101101", B"11100011", B"11000010",
219
 B"11100011", B"00011100", B"00010111", B"00011100", B"00100011",
220
 B"00001100", B"00110100", B"00010101", B"11100011", B"00010000",
221
 B"11100001", B"00011110", B"11100000", B"00101001", B"00100110",
222
 B"00010100", B"00100101", B"00010000", B"00011011", B"00010101",
223
 B"00011010", B"00011111", B"00011100", B"00101001", B"00011001",
224
 B"00010001", B"00010010", B"00100111", B"00100010", B"11100010",
225
 B"00010100", B"11110110", B"11001000", B"11101100", B"00101011",
226
 B"00100110", B"11110110", B"00100000", B"11101101", B"00100000",
227
 B"00100011", B"11101011", B"00011100", B"00100100", B"11011111",
228
 B"11011101", B"11101010", B"00100000", B"11001111", B"00011010",
229
 B"11101010", B"11011011", B"00000011", B"00101101", B"00010101",
230
 B"11010111", B"11000000", B"00100001", B"00001000", B"11101000",
231
 B"11010101", B"00000111", B"00001111", B"00100110", B"11010110",
232
 B"00101111", B"11011000", B"00001101", B"11010011", B"11011001",
233
 B"00101001", B"00111000", B"00101010", B"11101000", B"00100101",
234
 B"11010000", B"00100001", B"00011110", B"11010111", B"00101100",
235
 B"11100011", B"11100110", B"11101011", B"00011000", B"00100101",
236
 B"00011100", B"00100000", B"00100010", B"00101010", B"00100000",
237
 B"00011110", B"00110000", B"00010100", B"00110011", B"11101111",
238
 B"00011011", B"00100101", B"11011000", B"00011111", B"00001101",
239
 B"11001111", B"11011110", B"11010010", B"11011000", B"11100000",
240
 B"00011110", B"00011101", B"00100111", B"00100111", B"00100101",
241
 B"11101010", B"00011001", B"00100100", B"11100011", B"00011111",
242
 B"00101010", B"11100101", B"00100011", B"00101011", B"11101001",
243
 B"00101110", B"00100100", B"11100100", B"11011011", B"00011100",
244
 B"00101000", B"11101101", B"00101000", B"11010101", B"11101011",
245
 B"11011101", B"00100010", B"00010010", B"00100001", B"00011010",
246
 B"00111000", B"00100010", B"00100000", B"00011011", B"00000000",
247
 B"00100010", B"00100111", B"00011100", B"00100111", B"11110000",
248
 B"00100000", B"11100110", B"00011011", B"11101111", B"00111100",
249
 B"11100001", B"00100001", B"00101101", B"11011010", B"00010001",
250
 B"00011001", B"11100010", B"11011011", B"00011001", B"00001101",
251
 B"00100110", B"11100101", B"00100000", B"11101101", B"00011110",
252
 B"00111111", B"00001110", B"00001001", B"00001111", B"00100000",
253
 B"00100010", B"00011010", B"00100000", B"11110010", B"11100000",
254
 B"00101010", B"11011111", B"00010110", B"11100011", B"11010101",
255
 B"00011010", B"11100110", B"11100100", B"00101110", B"00100101",
256
 B"00101000", B"00100011", B"11010110", B"11100100", B"11000100",
257
 B"11011101", B"11111001", B"00101011", B"00100110", B"11101100",
258
 B"00010111", B"11100111", B"11101010", B"11101110", B"00101100",
259
 B"00011101", B"00001001", B"00100011", B"11100001", B"11110000",
260
 B"11011110", B"00100000", B"00101000", B"11100001", B"11011110",
261
 B"11100001", B"11110011", B"11011010", B"00011101", B"00010111",
262
 B"11100000", B"00100001", B"00010000", B"11010100", B"11110110",
263
 B"00100100", B"00010010", B"11101100", B"00001000", B"11101011",
264
 B"11100011", B"11011011", B"00100001", B"00100010", B"00101000",
265
 B"00011100", B"00011011", B"11011100", B"00010010", B"11100111",
266
 B"00011101", B"11100111", B"00100010", B"11101101", B"00010011",
267
 B"11011100", B"11100101", B"11010111", B"00011000", B"00011101",
268
 B"11010001", B"00010010", B"00011010", B"11010010", B"11101010",
269
 B"11011001", B"11101001", B"11001000", B"00100000", B"00101010",
270
 B"11011010", B"11100001", B"00100011", B"00001101", B"11100110",
271
 B"11010101", B"11011011", B"00011110", B"11011100", B"00101101",
272
 B"11100001", B"11101110", B"00011010", B"00010100", B"00011110",
273
 B"11010110", B"11100110", B"11010011", B"11010100", B"00101000",
274
 B"11100000", B"00010000", B"11101101", B"11100100", B"00011100",
275
 B"11101010", B"00110000", B"11000001", B"11001000", B"00100001",
276
 B"00101001", B"00011001", B"11000000", B"00010110", B"00100110",
277
 B"11010001", B"11101011", B"00011010", B"11010001", B"11101011",
278
 B"11011111", B"11011001", B"00001000", B"00111010", B"00011001",
279
 B"00100011", B"11010111", B"00100000", B"11101001", B"00010101",
280
 B"11010001", B"11100010", B"00011010", B"00010110", B"00010110",
281
 B"00011100", B"11101100", B"11100101", B"00111011", B"00010111",
282
 B"11001100", B"11100001", B"00100000", B"00011100", B"11100001",
283
 B"11100000", B"00101110", B"00011001", B"11011111", B"11010010",
284
 B"00100000", B"00100001", B"11011000", B"00001110", B"00100010",
285
 B"11101101", B"11100101", B"00010010", B"00100011", B"11010010",
286
 B"11100101", B"11110011", B"11010010", B"00100110", B"11110000",
287
 B"00010110", B"00011101", B"00001100", B"11101010", B"00101100",
288
 B"00010001", B"11100010", B"11100010", B"00101000", B"00011100",
289
 B"00011101", B"00001101", B"00010110", B"00011001", B"00100001",
290
 B"00101100", B"00010111", B"00100111", B"00101000", B"00010001",
291
 B"11100000", B"00100000", B"11101101", B"00100010", B"11010000",
292
 B"00001010", B"11101011", B"11100101", B"00010011", B"11100010",
293
 B"11011011", B"11011111", B"11011100", B"11100011", B"11011011",
294
 B"00101010", B"00011101", B"00100111", B"00001111", B"11101000",
295
 B"11111110", B"00001110", B"00010100", B"00010111", B"11010111",
296
 B"00100011", B"11100110", B"00100100", B"11011101", B"00011110",
297
 B"11111011", B"11100111", B"00100011", B"11100000", B"11101011",
298
 B"11010101", B"11001101", B"11100010", B"11101100", B"11101111",
299
 B"00011110", B"00100101", B"11100011", B"00010010", B"11001110",
300
 B"00011100", B"11011111", B"11100100", B"00000111", B"11010110",
301
 B"11110001", B"11101001", B"11001101", B"11100001", B"11010001",
302
 B"00101000", B"11100010", B"00100111", B"11101000", B"11011010",
303
 B"00010100", B"00100111", B"00011101", B"00001110", B"11011101",
304
 B"00011110", B"11101001", B"00001010", B"11010100", B"11100111",
305
 B"11011011", B"00100100", B"11110111", B"00011100", B"11011010",
306
 B"11010001", B"00100111", B"00100111", B"00100001", B"00101010",
307
 B"11011100", B"00010000", B"11010111", B"00011110", B"11101011",
308
 B"00101101", B"00110111", B"00000000", B"11101000", B"00111110",
309
 B"00101111", B"10111110", B"11101001", B"11100000", B"00100110",
310
 B"00101011", B"00010101", B"11010010", B"00110110", B"11011001",
311
 B"00001101", B"11011101", B"00011011", B"00010100", B"11011111",
312
 B"11100000", B"11010110", B"11001011", B"11010000", B"11100011",
313
 B"00101100", B"11010010", B"00010101", B"11101000", B"11011111",
314
 B"00101010", B"00010101", B"00100001", B"11011101", B"11100010",
315
 B"00111001", B"11101000", B"11011010", B"11011001", B"11010011",
316
 B"11101010", B"11011001", B"00010001", B"11100111", B"00110110",
317
 B"11100110", B"11010011", B"00001010", B"00101100", B"11011011",
318
 B"11011001", B"00001110", B"11010111", B"11011100", B"11101111",
319
 B"11101011", B"11011101", B"00010100", B"11001110", B"00101101",
320
 B"11011101", B"11010001", B"00000011", B"00011100", B"00101101",
321
 B"00100011", B"11110111", B"11011111", B"00111001", B"00100100",
322
 B"11011010", B"11011111", B"00011000", B"00011111", B"11110101",
323
 B"00011111", B"00011000", B"11101000", B"11011110", B"00011011",
324
 B"11110101", B"11101000", B"11110001", B"00100010", B"00100111",
325
 B"11011101", B"00011001", B"11100110", B"11011001", B"11101111",
326
 B"00101011", B"11010001", B"00010100", B"11101101", B"11101110",
327
 B"00100110", B"11101010", B"00011110", B"11101000", B"11011100",
328
 B"11011111", B"00011001", B"00100001", B"11011110", B"00110101",
329
 B"00101101", B"11100001", B"00100001", B"00111010", B"11100010",
330
 B"11100101", B"00101011", B"11100100", B"11101001", B"11100010",
331
 B"00101101", B"00100001", B"11100001", B"00101011", B"11100001",
332
 B"11100110", B"00000000", B"00101110", B"00100011", B"11010110",
333
 B"00010111", B"11011101", B"00100001", B"00011101", B"00100010",
334
 B"11011111", B"00010110", B"00111111", B"11100010", B"11100001",
335
 B"00100111", B"11011110", B"11100001", B"11110001", B"11101001",
336
 B"00110001", B"00101011", B"00011011", B"00001001", B"00101111",
337
 B"00011001", B"11011101", B"00100110", B"00011111", B"11011100",
338
 B"11011010", B"00100000", B"00100001", B"11010101", B"11001110",
339
 B"00101101", B"11001100", B"11101110", B"11011100", B"11100010",
340
 B"11010111", B"11011111", B"11111001", B"00101001", B"00000110",
341
 B"11100010", B"00101011", B"11100100", B"11100100", B"11100001",
342
 B"00010110", B"00011001", B"00111011", B"00011000", B"11100100",
343
 B"11000001", B"00101010", B"00011010", B"00100100", B"00010000",
344
 B"00010000", B"00010100", B"00001000", B"00010010", B"00101010",
345
 B"00010001", B"00000101", B"00101010", B"00011001", B"00100101",
346
 B"00011001", B"00001001", B"00000110", B"00011010", B"00011111",
347
 B"00110000", B"00011001", B"00011101", B"00100101", B"00101011",
348
 B"00101110", B"11101001", B"11010111", B"00010010", B"11000010",
349
 B"11010010", B"11101010", B"11100111", B"11101111", B"11101011",
350
 B"11010010", B"00001101", B"00011000", B"11101000", B"00011011",
351
 B"11100111", B"00100001", B"11011100", B"00101010", B"11011001",
352
 B"11100010", B"11100010", B"00010010", B"00110010", B"11101100",
353
 B"00011010", B"11011110", B"00010110", B"11100011", B"11101111",
354
 B"00011011", B"00100111", B"11101111", B"00011011", B"00011011",
355
 B"00101000", B"11011001", B"00100011", B"11001010", B"00011100",
356
 B"00011001", B"11011101", B"00101110", B"00010110", B"11100110",
357
 B"00110000", B"00010110", B"11101001", B"00110110", B"00010111",
358
 B"00010101", B"11101111", B"00010111", B"11100101", B"00101101",
359
 B"11001101", B"00100011", B"11111100", B"00100110", B"11011100",
360
 B"11100001", B"11100000", B"00100100", B"00011011", B"00010010",
361
 B"11010111", B"11010111", B"00011000", B"11100101", B"11110101",
362
 B"11101000", B"11011111", B"11011101", B"11011001", B"00101010",
363
 B"11110000", B"00010100", B"11010011", B"11001001", B"00100100",
364
 B"11101110", B"00100101", B"11100011", B"11011011", B"11101011",
365
 B"00100000", B"00100011", B"11100100", B"11011101", B"00100010",
366
 B"00101100", B"00100010", B"11011110", B"00110000", B"11010010",
367
 B"00101000", B"11100110", B"11100100", B"11011100", B"11101110",
368
 B"00100000", B"00011000", B"00100100", B"00101000", B"11011111",
369
 B"11100101", B"11010101", B"11110011", B"00100101", B"00100110",
370
 B"00100111", B"00111010", B"11101101", B"11010110", B"00011110",
371
 B"11010100", B"00010101", B"11011000", B"11100101", B"00010010",
372
 B"00100101", B"00010011", B"00011100", B"11101001", B"00100011",
373
 B"11011110", B"00100000", B"11100010", B"11011110", B"00100111",
374
 B"11010011", B"11100111", B"11011100", B"00010011", B"00001111",
375
 B"11100010", B"11011110", B"00110010", B"00011010", B"00011001",
376
 B"11011010", B"00101000", B"11001100", B"00110000", B"00110011",
377
 B"00100010", B"11010111", B"11010010", B"01000101", B"00100101",
378
 B"11011000", B"11101111", B"00101001", B"00001011", B"11100100",
379
 B"00110110", B"00011111", B"11110011", B"11011101", B"00100101",
380
 B"00101011", B"11101001", B"00011010", B"00010111", B"11011010",
381
 B"00100101", B"00011000", B"11100100", B"00011010", B"00100111",
382
 B"11010001", B"00010001", B"00100011", B"11001001", B"11010010",
383
 B"00100001", B"00100111", B"00101010", B"11111010", B"00110110",
384
 B"00100100", B"11101000", B"11011000", B"00011110", B"00100110",
385
 B"01000000", B"11101011", B"00110010", B"00100001", B"11101011",
386
 B"11010100", B"00011100", B"00100000", B"11101101", B"00110010",
387
 B"11010100", B"11010011", B"11011010", B"00011101", B"00101101",
388
 B"00011101", B"11011011", B"11011101", B"11001000", B"11101111",
389
 B"00010111", B"11101101", B"00101101", B"11100000", B"11100101",
390
 B"00010000", B"11101001", B"00010010", B"11011100", B"11100101",
391
 B"00011010", B"11100000", B"00000110", B"00010000", B"00101101",
392
 B"11110010", B"00011001", B"11100111", B"00001110", B"11001110",
393
 B"11001101", B"00101000", B"11010010", B"00101000", B"11010010",
394
 B"11010010", B"00010110", B"11011111", B"00011000", B"00011111",
395
 B"11010011", B"11101011", B"11101000", B"11011000", B"11010000",
396
 B"11011101", B"00010100", B"00101001", B"11100000", B"11110011",
397
 B"11010000", B"11101110", B"11101010", B"00011100", B"11010010",
398
 B"00100101", B"11011010", B"11101001", B"11100100", B"00100001",
399
 B"00010111", B"00011100", B"00010111", B"11101101", B"11010101",
400
 B"00010101", B"00011100", B"11101110", B"11101001", B"00100110",
401
 B"11101011", B"00001110", B"00010100", B"11100011", B"00100110",
402
 B"00100010", B"11011110", B"00011101", B"00011110", B"00010111",
403
 B"00011000", B"00100000", B"00101001", B"00011011", B"00100010",
404
 B"11001110", B"11011000", B"00011111", B"00011010", B"00100001",
405
 B"00011000", B"11011011", B"11011101", B"11100000", B"11101101",
406
 B"00100110", B"00100001", B"00101001", B"00100011", B"11011001",
407
 B"11101000", B"11010001", B"00000111", B"00011010", B"00011011",
408
 B"11011111", B"00100011", B"11011111", B"00100000", B"11011110",
409
 B"00100110", B"00100000", B"11100111", B"11100010", B"11100001",
410
 B"11101010", B"11011111", B"00011110", B"00010001", B"00010100",
411
 B"00001111", B"00100111", B"00010111", B"00001111", B"00101100",
412
 B"11100100", B"11100000", B"11110000", B"00010001", B"00101010",
413
 B"11011100", B"00001011", B"11100111", B"00010000", B"11100100",
414
 B"11011000", B"00001111", B"11101001", B"11011001", B"11100001",
415
 B"11100011", B"11011110", B"11011110", B"00011001", B"11010000",
416
 B"00011011", B"11101111", B"11011110", B"00110011", B"00101000",
417
 B"00100001", B"11100111", B"00011100", B"00011111", B"11011101",
418
 B"11011011", B"00100101", B"00011101", B"00110101", B"00110111",
419
 B"00011101", B"00011110", B"00010111", B"00110101", B"00100100",
420
 B"11101101", B"11101010", B"11100101", B"11000011", B"00101001",
421
 B"00101100", B"00101101", B"00101010", B"11010000", B"00001110",
422
 B"11100011", B"00101111", B"11011100", B"11000111", B"00011100",
423
 B"00100001", B"11010111", B"00101101", B"11100111", B"00100110",
424
 B"11011111", B"11101001", B"00001000", B"00110010", B"00110011",
425
 B"11100110", B"00000101", B"00100100", B"11010000", B"00110111",
426
 B"00100111", B"11100001", B"11100010", B"11010001", B"00100000",
427
 B"11011100", B"00011000", B"11100001", B"11100010", B"00101010",
428
 B"00010100", B"00011111", B"11101010", B"00101001", B"00100001",
429
 B"11101101", B"11010011", B"00100100", B"11101011", B"00001001",
430
 B"00110000", B"11011001", B"11101101", B"11011111", B"11100101",
431
 B"11011010", B"11001100", B"00101111", B"00010000", B"11011101",
432
 B"11110000", B"11101000", B"11010011", B"11101011", B"11100011",
433
 B"00010011", B"11011101", B"11100011", B"11011111", B"00101000",
434
 B"00100110", B"11100000", B"11011011", B"00011011", B"00101101",
435
 B"00010110", B"11101010", B"00010110", B"11001101", B"00000111",
436
 B"00010010", B"00010100", B"00101000", B"00011001", B"00011100",
437
 B"00000011", B"00101100", B"00011100", B"11100010", B"00100101",
438
 B"00011111", B"11110001", B"11011010", B"11010100", B"11101110",
439
 B"11011001", B"11001110", B"11100011", B"11101100", B"00101001",
440
 B"00010000", B"11110101", B"00100011", B"11101001", B"00010111",
441
 B"00101110", B"00101100", B"00100111", B"00100001", B"00010000",
442
 B"00100001", B"00010011", B"00100101", B"11110010", B"11010111",
443
 B"00100010", B"11010011", B"11011010", B"11011111", B"11101010",
444
 B"11110001", B"00100001", B"00010000", B"00011110", B"11100010",
445
 B"00100010", B"00000010", B"00110000", B"00100111", B"11011011",
446
 B"00010100", B"11001100", B"11101111", B"11010010", B"00100110",
447
 B"00001110", B"00000010", B"00100000", B"11011011", B"00010111",
448
 B"00111011", B"11010001", B"11011011", B"00010011", B"11011100",
449
 B"11100010", B"00100111", B"00100010", B"00100001", B"00100110",
450
 B"11011100", B"11101001", B"00111011", B"11011101", B"00100100",
451
 B"00011001", B"11001111", B"00100010", B"00101010", B"11010100",
452
 B"11100100", B"00100111", B"00010010", B"00101111", B"11101001",
453
 B"00010001", B"11011001", B"00011011", B"00011111", B"11011010",
454
 B"11001111", B"00011001", B"11100011", B"11011111", B"11110011",
455
 B"11100100", B"00011011", B"11011101", B"00011011", B"00011111",
456
 B"11101000", B"00110001", B"00011101", B"11011100", B"11010111",
457
 B"11100001", B"11101111", B"11101100", B"00100011", B"00011100",
458
 B"00011011", B"00100011", B"00000100", B"00100010", B"11001111",
459
 B"11011011", B"00101100", B"00100101", B"11010110", B"11100001",
460
 B"00100101", B"11101010", B"00010110", B"11101001", B"11100001",
461
 B"11010001", B"00001010", B"00011110", B"00011100", B"00100001",
462
 B"00101110", B"00011001", B"00011011", B"00100000", B"00101111",
463
 B"00100111", B"11011001", B"11011101", B"00011110", B"00111001",
464
 B"00101000", B"00011110", B"11011000", B"11011101", B"00101101",
465
 B"11010001", B"11101000", B"00100010", B"11110101", B"11011110",
466
 B"11010111", B"11110001", B"11011111", B"11101010", B"11011001",
467
 B"00011001", B"00011101", B"11011001", B"00010111", B"11100111",
468
 B"11100110", B"11100010", B"11011001", B"11100001", B"00010101",
469
 B"00100011", B"00100101", B"00011010", B"11001101", B"00110100",
470
 B"00011110", B"00011100", B"11110111", B"00100010", B"11101010",
471
 B"00011100", B"11100010", B"00010111", B"00100001", B"00011101",
472
 B"11011100", B"00010110", B"11010001", B"00010110", B"00011001",
473
 B"11100000", B"11011010", B"00010100", B"11011011", B"11011110",
474
 B"11011111", B"11011101", B"11011000", B"00100100", B"00011100",
475
 B"00011100", B"11101010", B"00011110", B"11100100", B"00100110",
476
 B"11100010", B"00011000", B"00001100", B"00101010", B"11011010",
477
 B"00010001", B"11100010", B"00110101", B"11100000", B"11011100",
478
 B"00101100", B"00100111", B"00011100", B"00100001", B"11011010",
479
 B"11110101", B"11100001", B"00100001", B"00001111", B"00011101",
480
 B"11100100", B"00011100", B"11001010", B"00011000", B"11001100",
481
 B"11101110", B"00100101", B"00010100", B"00100001", B"00001101",
482
 B"11111000", B"11100010", B"00101110", B"00011110", B"11101011",
483
 B"11100001", B"00011110", B"00101001", B"11011000", B"11011111",
484
 B"00011010", B"11010010", B"11100101", B"00011111", B"11101000",
485
 B"11100101", B"11011100", B"11101010", B"11010111", B"00001111",
486
 B"00011101", B"11101110", B"11011000", B"11100101", B"11101000",
487
 B"11011000", B"00101010", B"11101000", B"00011101", B"11100001",
488
 B"11011001", B"11101100", B"00011100", B"00100001", B"00111100",
489
 B"00100101", B"11101011", B"11100010", B"00101100", B"00101010",
490
 B"11011100", B"11100110", B"00110110", B"11011100", B"11101000",
491
 B"11110010", B"11001110", B"00111001", B"11101001", B"00110010",
492
 B"00011101", B"11101000", B"00010110", B"00001111", B"11011110",
493
 B"00100011", B"00011000", B"11001111", B"00010101", B"00011110",
494
 B"11010101", B"00011100", B"00010001", B"11011010", B"11100100",
495
 B"00100100", B"11100001", B"00011101", B"11111010", B"11100011",
496
 B"11101011", B"00011011", B"00011011", B"11100101", B"11011001",
497
 B"00010110", B"00110010", B"11110010", B"11011000", B"11010010",
498
 B"11100111", B"11110010", B"00100110", B"11011100", B"11001010",
499
 B"11011011", B"11101001", B"00111001", B"11010110", B"00010100",
500
 B"11010110", B"11100000", B"11011111", B"00100011", B"00100011",
501
 B"11101000", B"00101001", B"11010111", B"00110100", B"00110011",
502
 B"00101100", B"11101001", B"00100011", B"11100001", B"00011000",
503
 B"11010101", B"11101001", B"00010010", B"00011010", B"11011000",
504
 B"11011100", B"11100010", B"11110101", B"11001110", B"00011001",
505
 B"11101000", B"11100100", B"00011010", B"11100111", B"11101101",
506
 B"11101100", B"11101000", B"11111000", B"00101100", B"00100110",
507
 B"11110011", B"11101111", B"11101101", B"11100011", B"11000111",
508
 B"00000011", B"11010010", B"11010111", B"11011001", B"11110010",
509
 B"00011010", B"11101110", B"00100100", B"11010100", B"11101101",
510
 B"11011110", B"11010110", B"00100100", B"00100111", B"00100000",
511
 B"00101010", B"00010000", B"00011100", B"11110000", B"00101111",
512
 B"00010000", B"11011111", B"11011001", B"00011110", B"11011101",
513
 B"00100100", B"11110010", B"00010100", B"11101111", B"11110110",
514
 B"00100100", B"00100000", B"00011000", B"00011100", B"11011010",
515
 B"11100111", B"00001111", B"00101000", B"11100010", B"11100111",
516
 B"00101110", B"11011001", B"00100110", B"11100000", B"11010111",
517
 B"11011110", B"00101100", B"00010101", B"11011010", B"00110000",
518
 B"11011110", B"00100110", B"11101001", B"11101100", B"00101101",
519
 B"00101011", B"00100100", B"00010111", B"00101011", B"00100000",
520
 B"00011100", B"11111101", B"00001000", B"00100100", B"00011100",
521
 B"00010111", B"00110100", B"00001010", B"00100000", B"00101010",
522
 B"00100011", B"00100010", B"00101100", B"00101001", B"00100100",
523
 B"11100010", B"00010111", B"11010100", B"00000101", B"11010101",
524
 B"00110100", B"11011010", B"11101010", B"00011110", B"11100100",
525
 B"11110000", B"11010010", B"11011111", B"11011010", B"11000111",
526
 B"11010010", B"11010111", B"00001011", B"00010011", B"00011001",
527
 B"00100101", B"00011001", B"00101101", B"11100101", B"00011011",
528
 B"00001001", B"11100111", B"11001001", B"00110010", B"00100000",
529
 B"11011100", B"00101101", B"00011101", B"11010111", B"00100010",
530
 B"00011100", B"11011111", B"11011001", B"11100101", B"00101010",
531
 B"00100110", B"00011010", B"00100110", B"11010110", B"11111111",
532
 B"00011001", B"11010110", B"00100111", B"00100111", B"11011011",
533
 B"00011011", B"00100000", B"11010111", B"00011000", B"00011110",
534
 B"00110000", B"11111001", B"00100001", B"11100001", B"00010111",
535
 B"11100110", B"00101000", B"00100011", B"00100110", B"00011010",
536
 B"00011110", B"00101100", B"00110100", B"00011111", B"11011001",
537
 B"00010010", B"11101000", B"00010101", B"11100010", B"11011101",
538
 B"00011001", B"00011001", B"11100111", B"00100100", B"00100001",
539
 B"11010001", B"11011110", B"11110001", B"11010111", B"11011010",
540
 B"11100000", B"00011011", B"11011111", B"11011001", B"11011010",
541
 B"00110101", B"00011000", B"11100000", B"00010010", B"11011111",
542
 B"00011001", B"11101000", B"11011101", B"11000110", B"00010001",
543
 B"00100011", B"11101110", B"11101001", B"00011011", B"11101101",
544
 B"00100010", B"11101001", B"11011110", B"00100001", B"11011011",
545
 B"11001110", B"00011011", B"00100001", B"00100000", B"00100000",
546
 B"11011010", B"11010101", B"11111011", B"00010101", B"11101001",
547
 B"00101001", B"11101011", B"11100110", B"00010101", B"00011110",
548
 B"00100010", B"00101000", B"11010101", B"00011100", B"00000111",
549
 B"11100100", B"11010111", B"00100000", B"11101001", B"11101010",
550
 B"00011011", B"11011101", B"00100111", B"11100100", B"11011001",
551
 B"00011011", B"11110000", B"11010011", B"11011000", B"11100101",
552
 B"00100010", B"00001010", B"00101010", B"00010111", B"11100010",
553
 B"00100000", B"00011011", B"00011001", B"11100101", B"00010001",
554
 B"11101101", B"00011001", B"00010111", B"11100000", B"11111110",
555
 B"11100010", B"11011010", B"00111110", B"11010100", B"00010110",
556
 B"00011111", B"11100101", B"00110000", B"11110010", B"11100011",
557
 B"11001100", B"00101001", B"00101001", B"00100000", B"00011001",
558
 B"11101001", B"00001100", B"00001111", B"11100100", B"11101000",
559
 B"00101110", B"11011100", B"11011101", B"00010010", B"11010111",
560
 B"00110010", B"11100001", B"11011101", B"00010111", B"00001110",
561
 B"11011010", B"11101101", B"11100111", B"11101001", B"00001111",
562
 B"11101111", B"00100100", B"11101000", B"11011010", B"11010111",
563
 B"00001111", B"00100110", B"11101100", B"00100100", B"11101000",
564
 B"11100010", B"00100110", B"11100111", B"11100010", B"11100011",
565
 B"00100001", B"00111101", B"11010010", B"00100110", B"11100101",
566
 B"11001000", B"00010100", B"11110111", B"11100011", B"11110010",
567
 B"11010001", B"00001100", B"11100110", B"00011000", B"11011100",
568
 B"11100011", B"11010110", B"00100101", B"00100110", B"11011100",
569
 B"00100000", B"11110010", B"00001110", B"11100000", B"11011000",
570
 B"00011111", B"00011100", B"11010111", B"00010110", B"00100100",
571
 B"11100011", B"11011101", B"11100011", B"11101010", B"11011100",
572
 B"00101010", B"00110101", B"00011010", B"11101010", B"00100100",
573
 B"11011000", B"00011111", B"11100000", B"00011111", B"00010111",
574
 B"00011111", B"11010010", B"00100010", B"11011110", B"00011000",
575
 B"11100011", B"11100100", B"00011000", B"00010101", B"00010010",
576
 B"11011101", B"00100000", B"11010000", B"00011111", B"00011110",
577
 B"11010100", B"00110100", B"00011010", B"11100010", B"00011001",
578
 B"00110011", B"11100011", B"11011001", B"00011100", B"11010100",
579
 B"00110000", B"11100001", B"11101001", B"00011001", B"00110111",
580
 B"11011110", B"11100001", B"11100001", B"11011100", B"00010001",
581
 B"00010010", B"00101101", B"00101101", B"11100000", B"11100101",
582
 B"00111011", B"00100001", B"00101000", B"00011001", B"11001111",
583
 B"11011101", B"11100010", B"00101010", B"00100111", B"00011110",
584
 B"11100010", B"00100101", B"11011111", B"00010101", B"00101110",
585
 B"11100011", B"00011001", B"11011010", B"11011100", B"11101110",
586
 B"00100101", B"00011101", B"11011110", B"00010001", B"11100100",
587
 B"00100000", B"11110000", B"11101110", B"00101000", B"00011010",
588
 B"00100000", B"00101011", B"11001101", B"11100001", B"00011010",
589
 B"00011101", B"11100001", B"11101001", B"00101010", B"00011001",
590
 B"11011010", B"00011001", B"00100011", B"11100001", B"11100111",
591
 B"00100101", B"11110000", B"00101000", B"11101001", B"00100000",
592
 B"11110100", B"11010101", B"00101101", B"00011000", B"11001111",
593
 B"00100000", B"11100000", B"00100101", B"11100111", B"11100011",
594
 B"00101110", B"00100001", B"00011110", B"11100010", B"11100110",
595
 B"00101001", B"11100010", B"11011100", B"11010100", B"11100010",
596
 B"00010011", B"11101101", B"00100110", B"11100101", B"11011011",
597
 B"11101011", B"00011110", B"00101000", B"00011111", B"00010101",
598
 B"00011100", B"00100000", B"00011101", B"00110111", B"00011111",
599
 B"00011101", B"00010010", B"00011000", B"11001011", B"11100010",
600
 B"00011010", B"00100100", B"11110000", B"11100000", B"00010010",
601
 B"00010111", B"11110001", B"11100011", B"00011101", B"00011000",
602
 B"11100111", B"11000110", B"00100010", B"11001011", B"11100111",
603
 B"00011101", B"11011100", B"11100000", B"11011010", B"11100101",
604
 B"00001010", B"00100111", B"11101000", B"11011010", B"00010110",
605
 B"00110010", B"11010101", B"11001000", B"11010011", B"11101000",
606
 B"00100011", B"00011010", B"00011011", B"00100001", B"11010010",
607
 B"11010101", B"11101101", B"11011010", B"11101011", B"11101001",
608
 B"00000100", B"00100100", B"00011110", B"00101100", B"00111001",
609
 B"00101111", B"00011100", B"11100000", B"01000011", B"11011000",
610
 B"00100111", B"11000110", B"11101000", B"11101011", B"00010110",
611
 B"11011001", B"00011111", B"11010010", B"11010110", B"00010101",
612
 B"11011100", B"00010110", B"11010111", B"11100100", B"11011010",
613
 B"00100110", B"00011010", B"11011001", B"11100000", B"11101000",
614
 B"11010001", B"11100011", B"00010111", B"00010000", B"00001101",
615
 B"00001110", B"11010011", B"11001111", B"00011110", B"00010000",
616
 B"00011100", B"00101001", B"11011111", B"11101011", B"11111001",
617
 B"00011110", B"00010101", B"00100101", B"11011101", B"00100101",
618
 B"11010100", B"00011110", B"00000001", B"00101110", B"11011101",
619
 B"00001100", B"00011100", B"11011100", B"11101000", B"00011110",
620
 B"11010011", B"11001111", B"00010011", B"00011111", B"00100000",
621
 B"00010001", B"11100101", B"11111001", B"00011101", B"00011101",
622
 B"11011000", B"00100001", B"00100000", B"11100000", B"11101011",
623
 B"00100000", B"11100111", B"11100010", B"00100010", B"00010101",
624
 B"00100011", B"00011001", B"11100001", B"11110011", B"00100110",
625
 B"00011101", B"00100001", B"11011111", B"00100101", B"11010101",
626
 B"00101100", B"11110000", B"11100010", B"11010010", B"00001111",
627
 B"11100110", B"00100011", B"11010100", B"11111011", B"00010000",
628
 B"00100100", B"11101011", B"11100111", B"11101000", B"11100001",
629
 B"00011001", B"11010110", B"00100001", B"00011100", B"00100100",
630
 B"00011100", B"11010110", B"00010000", B"11010101", B"00010101",
631
 B"11011010", B"11100100", B"11011101", B"11101010", B"00101001",
632
 B"00101101", B"11110100", B"00100010", B"11100110", B"11101000",
633
 B"00100101", B"11100111", B"00010100", B"11011101", B"11100000",
634
 B"00101101", B"00101010", B"00010001", B"00011010", B"11010100",
635
 B"00011011", B"00100100", B"11010100", B"11100110", B"00100101",
636
 B"11101111", B"11011110", B"11101010", B"11001110", B"00001011",
637
 B"00101000", B"00100100", B"00100101", B"00110110", B"11011010",
638
 B"00011011", B"11011111", B"11100000", B"11011010", B"00101001",
639
 B"00100101", B"11110000", B"00011111", B"11110011", B"11101011",
640
 B"11101100", B"00100000", B"00011110", B"11011100", B"11110001",
641
 B"11100101", B"11011000", B"00011101", B"00100000", B"11100111",
642
 B"00011101", B"11100000", B"00100100", B"00011001", B"00100110",
643
 B"11101110", B"00111000", B"11100110", B"00100110", B"11110101",
644
 B"00011010", B"00011011", B"00010001", B"11101001", B"00011101",
645
 B"11110001", B"00010100", B"11011001", B"11100010", B"00010111",
646
 B"00110000", B"00011101", B"11110100", B"00101110", B"11011111",
647
 B"00110110", B"11100110", B"00100000", B"00010101", B"00100001",
648
 B"11100100", B"00100100", B"11111110", B"00011011", B"11010110",
649
 B"00011110", B"00101100", B"00011000", B"11110010", B"00101100",
650
 B"11110000", B"00110010", B"11100110", B"00010110", B"11100100",
651
 B"11100101", B"11011111", B"00100010", B"00001010", B"11001101",
652
 B"00011100", B"11100101", B"00110110", B"11101011", B"11100011",
653
 B"11010101", B"00001110", B"00100100", B"11011000", B"00101000",
654
 B"00011010", B"00011110", B"11011111", B"00010010", B"11010001",
655
 B"00010001", B"11101101", B"00101101", B"11010110", B"11100100",
656
 B"11011101", B"00011001", B"00100111", B"11011111", B"00101100",
657
 B"00011111", B"11101110", B"11011100", B"00011101", B"00100001",
658
 B"11010000", B"11101101", B"00001110", B"00100111", B"00110001",
659
 B"11101011", B"00100111", B"11001101", B"00100110", B"11101111",
660
 B"11011101", B"11011100", B"11001011", B"00100011", B"00110100",
661
 B"11011001", B"00110110", B"11011000", B"11100101", B"11110001",
662
 B"11101100", B"11100010", B"00000100", B"00101110", B"00011001",
663
 B"00100110", B"00010000", B"11010001", B"11100101", B"11011010",
664
 B"11101101", B"00101010", B"11010111", B"00010001", B"00010101",
665
 B"00100000", B"11010101", B"00010010", B"00010000", B"11100100",
666
 B"11010101", B"00100011", B"00010011", B"11100011", B"00101111",
667
 B"11010001", B"11011001", B"11101001", B"00001100", B"00100010",
668
 B"00110010", B"11011000", B"11000100", B"00010110", B"11011011",
669
 B"11100110", B"11011111", B"11101010", B"00101110", B"00011010",
670
 B"00011101", B"00101110", B"00100110", B"00010101", B"00011101",
671
 B"00001110", B"11100010", B"11101011", B"11101101", B"00011110",
672
 B"00011101", B"11100101", B"00011110", B"11100010", B"00101011",
673
 B"00011101", B"00100101", B"00110100", B"00100111", B"00010101",
674
 B"00100101", B"00100010", B"00011000", B"11100001", B"11100101",
675
 B"11111010", B"11011001", B"11100101", B"11011010", B"11100000",
676
 B"11011010", B"00011111", B"11100010", B"11100111", B"11110100",
677
 B"00101000", B"00101000", B"11101100", B"00010010", B"11011111",
678
 B"00010010", B"11110000", B"11011011", B"11011001", B"00001100",
679
 B"00011000", B"00011100", B"00011111", B"00110000", B"11011001",
680
 B"00100010", B"11110010", B"00111011", B"11110100", B"00100111",
681
 B"00011011", B"00100011", B"11010100", B"00000100", B"11010000",
682
 B"00111001", B"11100000", B"11100011", B"11011111", B"11101001",
683
 B"11001111", B"00010110", B"00100111", B"00010101", B"00110010",
684
 B"11011100", B"11101101", B"00011000", B"00011011", B"00000001",
685
 B"00110000", B"11101011", B"11101000", B"11010111", B"11011111",
686
 B"11110000", B"00011000", B"00101000", B"11101100", B"00100010",
687
 B"11011101", B"00010111", B"00101000", B"00100010", B"00101101",
688
 B"00110100", B"00101010", B"00111001", B"00010100", B"00101010",
689
 B"11011101", B"00100111", B"11001101", B"11101111", B"11010010",
690
 B"00100101", B"00011011", B"11010100", B"00100101", B"11010110",
691
 B"11011101", B"11011000", B"00110011", B"00100111", B"11100011",
692
 B"11110101", B"00100010", B"11101000", B"11100110", B"11110000",
693
 B"00010011", B"00111101", B"11101110", B"00001010", B"00101110",
694
 B"00100000", B"00011001", B"00011000", B"00101001", B"00100001",
695
 B"00100100", B"00101010", B"11101010", B"11010100", B"00011000",
696
 B"11100100", B"11011111", B"11101111", B"11100001", B"00010101",
697
 B"11011100", B"11011111", B"11010010", B"11011010", B"01000001",
698
 B"11100101", B"00101010", B"00011011", B"11100100", B"11101100",
699
 B"11011110", B"11001101", B"00011001", B"11011001", B"00011001",
700
 B"11100100", B"10111111", B"11100011", B"00011100", B"00100010",
701
 B"11001001", B"00011101", B"11101000", B"00100010", B"00100110",
702
 B"11101111", B"11101000", B"00011110", B"00010101", B"11100110",
703
 B"11100011", B"00001110", B"00000010", B"00011000", B"00100100",
704
 B"00110111", B"00011011", B"00011001", B"00011010", B"11010000",
705
 B"11111010", B"00011010", B"00100100", B"00110001", B"00001001",
706
 B"11010100", B"11011010", B"00011110", B"11011101", B"11010010",
707
 B"00101101", B"11101101", B"11100100", B"11100000", B"11011000",
708
 B"00100010", B"00011101", B"00011100", B"00101011", B"00010111",
709
 B"00010100", B"00100101", B"00011011", B"11011100", B"00011100",
710
 B"00011010", B"00100111", B"11000000", B"00100100", B"11011100",
711
 B"00110011", B"00100000", B"00010110", B"11100011", B"00100101",
712
 B"00110000", B"11101001", B"11011001", B"00100011", B"00011100",
713
 B"11101000", B"00100101", B"00010101", B"11011100", B"00101101",
714
 B"00010100", B"11101010", B"11011000", B"11100110", B"00011011",
715
 B"11101101", B"00101000", B"11011110", B"11010111", B"00000111",
716
 B"00010001", B"00011000", B"00001100", B"00110010", B"00101000",
717
 B"00010100", B"00101101", B"00101010", B"00101001", B"00011001",
718
 B"00101001", B"00011110", B"00011111", B"00011011", B"00011101",
719
 B"00101001", B"00011110", B"00010100", B"00001100", B"11011010",
720
 B"00001100", B"11010010", B"00010111", B"11101010", B"00100101",
721
 B"11011001", B"00100100", B"00001101", B"11100010", B"00100101",
722
 B"00011111", B"11101001", B"11100101", B"11101000", B"00011010",
723
 B"11011111", B"00101101", B"11011010", B"11111011", B"00101110",
724
 B"00011111", B"00100010", B"11110100", B"11010101", B"00011011",
725
 B"00100011", B"11100111", B"11011001", B"11010100", B"00101101",
726
 B"00100001", B"11011010", B"11100111", B"11100111", B"11011111",
727
 B"11001110", B"00100000", B"11100000", B"11100010", B"00010000",
728
 B"11100100", B"11100101", B"11101101", B"11010101", B"11011110",
729
 B"00101111", B"00100101", B"11110011", B"11001101", B"11011110",
730
 B"11100010", B"11100111", B"00111000", B"00100111", B"11111110",
731
 B"00010010", B"00011010", B"00100100", B"00101000", B"00100111",
732
 B"00100100", B"00100011", B"00011001", B"00011110", B"00101001",
733
 B"00101100", B"00011011", B"00101101", B"00011011", B"00100100",
734
 B"00011010", B"11101010", B"00100111", B"11101111", B"00110000",
735
 B"11100000", B"11100000", B"00001011", B"11100100", B"11011011",
736
 B"11101011", B"00110000", B"00011111", B"11100011", B"11011111",
737
 B"00011100", B"00010011", B"00010110", B"11001000", B"00010110",
738
 B"11011001", B"00011001", B"11010111", B"00011000", B"00010101",
739
 B"11101101", B"11011100", B"11011110", B"11010101", B"11101001",
740
 B"00011010", B"00000100", B"00010111", B"00010111", B"00100011",
741
 B"00011100", B"00011001", B"00100100", B"11100000", B"00100000",
742
 B"00010100", B"00101001", B"11110011", B"00100011", B"11001011",
743
 B"00101010", B"11101000", B"11101010", B"00011101", B"11101010",
744
 B"00011100", B"11011010", B"11101101", B"00011111", B"00011100",
745
 B"11110101", B"11100011", B"11011101", B"11011110", B"00011110",
746
 B"11011110", B"00011000", B"00100011", B"11100111", B"11101111",
747
 B"00000110", B"11100000", B"11111010", B"11110010", B"11101000",
748
 B"00110000", B"11011111", B"00100001", B"00010100", B"11001101",
749
 B"00100100", B"00111110", B"11110010", B"11100111", B"00011100",
750
 B"11011110", B"11101011", B"11100111", B"00101110", B"00100010",
751
 B"11010011", B"00100110", B"00101011", B"11110010", B"00100011",
752
 B"00011101", B"11101100", B"11100101", B"00011101", B"00100101",
753
 B"00101000", B"00010111", B"00110010", B"00001011", B"00111000",
754
 B"00010101", B"00011111", B"00111001", B"11001111", B"00100101",
755
 B"00101000", B"11011000", B"00011001", B"00010001", B"11000110",
756
 B"11110000", B"00011010", B"11010111", B"00100111", B"11100011",
757
 B"11101111", B"00110000", B"00011100", B"11001001", B"11001111",
758
 B"00010101", B"11100011", B"00010011", B"11001111", B"11100011",
759
 B"00101101", B"11100010", B"00011010", B"11110000", B"11101110",
760
 B"11101101", B"00011011", B"00100010", B"11101001", B"11101110",
761
 B"11000100", B"00011011", B"00011001", B"00011011", B"00100011",
762
 B"11001100", B"11011001", B"00010100", B"11011000", B"11011000",
763
 B"11101100", B"11011000", B"00011110", B"11001110", B"00100100",
764
 B"11011101", B"11010001", B"11101100", B"11011110", B"00101010",
765
 B"00011111", B"00010100", B"00010011", B"00100010", B"11001100",
766
 B"11100010", B"00010101", B"11101110", B"11011101", B"11100100",
767
 B"11011011", B"00110111", B"00011010", B"11010111", B"11010011",
768
 B"00100000", B"00011111", B"11101101", B"11100101", B"00100111",
769
 B"00001101", B"00011111", B"11010111", B"00101000", B"11010101",
770
 B"00010011", B"11011110", B"00011000", B"11011010", B"00011000",
771
 B"00100010", B"11010100", B"00011110", B"00011000", B"11010011",
772
 B"00001110", B"00011101", B"00100011", B"11011110", B"00101101",
773
 B"11101100", B"00011011", B"11010000", B"11100001", B"00100000",
774
 B"11101110", B"00101101", B"11001010", B"11101011", B"00010110",
775
 B"00001110", B"11010110", B"00100111", B"11011100", B"11101001",
776
 B"11011010", B"00101100", B"00100011", B"11100011", B"00011100",
777
 B"11111101", B"11010001", B"00010000", B"11001110", B"11110000",
778
 B"11100101", B"11100000", B"11011110", B"11011111", B"11101001",
779
 B"11001110", B"00100111", B"00001110", B"00100110", B"00101001",
780
 B"11111101", B"00110011", B"11100110", B"00011000", B"11100111",
781
 B"11100010", B"00100010", B"00011110", B"11011011", B"11010000",
782
 B"11011000", B"00101110", B"00100011", B"11100001", B"00100111",
783
 B"11101101", B"00010100", B"00101110", B"11010100", B"00101000",
784
 B"00011110", B"11100110", B"11011000", B"00100111", B"11101011",
785
 B"00010111", B"00100101", B"00101001", B"11100011", B"00101011",
786
 B"11001111", B"00010101", B"00011110", B"11011100", B"00011011",
787
 B"00101010", B"11001110", B"00100011", B"00011010", B"11101100",
788
 B"00011111", B"00111000", B"11011011", B"00101100", B"00101110",
789
 B"11100111", B"11011010", B"00001011", B"11000110", B"00110110",
790
 B"11100111", B"00101110", B"11100001", B"11010000", B"00100110",
791
 B"00101100", B"11001111", B"00100001", B"11110000", B"00011001",
792
 B"11010100", B"11010010", B"00011100", B"00010101", B"11011100",
793
 B"00011010", B"11101111", B"00010111", B"11011000", B"11011000",
794
 B"00101100", B"00011010", B"00011111", B"00010111", B"00100100",
795
 B"11011111", B"00001100", B"11100011", B"00011011", B"11011010",
796
 B"11111010", B"00110011", B"11011011", B"00110101", B"11110010",
797
 B"11100100", B"00110101", B"00100111", B"00101101", B"00100110",
798
 B"00011100", B"00100110", B"00011011", B"00011101", B"00010100",
799
 B"00101010", B"11010111", B"00101000", B"11101000", B"00110100",
800
 B"11110100", B"11111101", B"00101011", B"00010100", B"00011001",
801
 B"11000111", B"00010110", B"11011111", B"11100000", B"11001011",
802
 B"00101100", B"00100111", B"11011001", B"00111011", B"11100011",
803
 B"11010101", B"11011000", B"00100100", B"00010011", B"11011100",
804
 B"00101001", B"00111111", B"00110011", B"00100101", B"00010101",
805
 B"00101110", B"00100000", B"00011101", B"00100100", B"11100101",
806
 B"00100111", B"00100011", B"11010110", B"00010001", B"00101011",
807
 B"11100101", B"11010111", B"00010011", B"00010010", B"00010101",
808
 B"11110000", B"00100111", B"11100000", B"00100011", B"11101001",
809
 B"11100001", B"11011101", B"11100101", B"00101001", B"00101010",
810
 B"00011001", B"00011111", B"00101101", B"11011111", B"00100000",
811
 B"00101010", B"11101100", B"00100010", B"00011011", B"11011001",
812
 B"11101111", B"00011110", B"11101100", B"00011100", B"11100100",
813
 B"11001111", B"00101100", B"11111101", B"11011110", B"11011000",
814
 B"11000111", B"11001100", B"00100000", B"00110101", B"00010110",
815
 B"00100111", B"11011111", B"00011001", B"00010101", B"00100111",
816
 B"11101101", B"00011010", B"11100011", B"00101000", B"11011011",
817
 B"11010010", B"11010110", B"00101100", B"00101011", B"11100001",
818
 B"00101110", B"11100100", B"00011011", B"11111110", B"11001101",
819
 B"11110000", B"11100010", B"00101010", B"11111100", B"00010100",
820
 B"11011101", B"11000101", B"11010110", B"00001101", B"00011101",
821
 B"11011110", B"00101100", B"11011100", B"11001010", B"00101101",
822
 B"11010011", B"11110100", B"11001111", B"00100110", B"00101101",
823
 B"11100010", B"00100110", B"00110011", B"00001011", B"00010110",
824
 B"00111000", B"00011000", B"00011100", B"00010101", B"11010111",
825
 B"11011101", B"00101110", B"00011110", B"00100100", B"00011010",
826
 B"11101101", B"11010011", B"00010100", B"00101010", B"11011000",
827
 B"11001111", B"00101110", B"00011010", B"11011100", B"11011100",
828
 B"00100000", B"00011011", B"00100101", B"00100011", B"00101100",
829
 B"00100000", B"00010000", B"00011000", B"11010100", B"00011101",
830
 B"11110010", B"11011110", B"11011001", B"00100001", B"00111001",
831
 B"11101001", B"11010111", B"11011110", B"00011010", B"00100101",
832
 B"00101110", B"00001010", B"11011000", B"11011001", B"00100000",
833
 B"00011001", B"11011111", B"00100110", B"00101010", B"11011111",
834
 B"11011001", B"00011010", B"11110100", B"00100000", B"00101010",
835
 B"00101100", B"11100111", B"00011011", B"11101000", B"00011011",
836
 B"11011001", B"00011101", B"11011110", B"11100010", B"11011101",
837
 B"00010111", B"00011111", B"11101000", B"00100111", B"11100101",
838
 B"11101001", B"00011110", B"11001101", B"11101001", B"11010010",
839
 B"11010011", B"11001101", B"00011100", B"00011010", B"00101010",
840
 B"11100101", B"00100010", B"11001111", B"00101111", B"11101110",
841
 B"11011001", B"11001010", B"11100010", B"00101000", B"00010111",
842
 B"00011001", B"00100100", B"11100101", B"00010001", B"00000110",
843
 B"00101100", B"11010110", B"00100011", B"11101101", B"00100010",
844
 B"00100100", B"11100010", B"11100000", B"00011110", B"11011101",
845
 B"11100100", B"11101101", B"11100000", B"11100011", B"11011010",
846
 B"00011011", B"00011000", B"00111001", B"00100001", B"11011110",
847
 B"11101111", B"11101010", B"00011000", B"00010000", B"11010011",
848
 B"11100011", B"11011011", B"11110010", B"11011001", B"11011101",
849
 B"11000110", B"00110011", B"11010111", B"00101101", B"11010010",
850
 B"11011101", B"00110001", B"11011111", B"00011110", B"00100010",
851
 B"11011111", B"11100010", B"11100110", B"11011001", B"11011110",
852
 B"00010011", B"00100011", B"11011111", B"00101011", B"00100000",
853
 B"11011010", B"11010000", B"00110011", B"00011111", B"11100110",
854
 B"00011111", B"00001000", B"11011100", B"00101101", B"00111001",
855
 B"11100101", B"00010000", B"11101000", B"00011010", B"11011011",
856
 B"11011111", B"11100101", B"00010111", B"00100110", B"00111001",
857
 B"11100111", B"00100100", B"11010101", B"11011110", B"11101100",
858
 B"00011001", B"00011010", B"11010111", B"00101111", B"11101001",
859
 B"00010011", B"11100110", B"11100100", B"00110010", B"00001110",
860
 B"00100001", B"00011001", B"00101001", B"00101100", B"00010110",
861
 B"00011001", B"00001101", B"00100000", B"11101011", B"00001111",
862
 B"00110010", B"00011001", B"11111111", B"00010111", B"11110001",
863
 B"00011111", B"11101100", B"00010111", B"11110001", B"00101100",
864
 B"11101000", B"11100101", B"00000111", B"00100010", B"11101001",
865
 B"11100010", B"00011001", B"00110000", B"00101000", B"00010011",
866
 B"11011000", B"11100100", B"00101100", B"11100110", B"11101101",
867
 B"00101000", B"11101001", B"11100001", B"11011110", B"11011111",
868
 B"00100110", B"11101010", B"11011001", B"00101001", B"11101011",
869
 B"11101011", B"11010101", B"11011010", B"11011001", B"11101001",
870
 B"00011100", B"00011101", B"00011011", B"00011110", B"11011111",
871
 B"11010001", B"00100101", B"00110011", B"11100001", B"11011010",
872
 B"00000110", B"00101110", B"11011001", B"11100000", B"00101000",
873
 B"00001111", B"11010111", B"00010000", B"00011010", B"11100110",
874
 B"11001011", B"00100000", B"00011011", B"00101000", B"00100001",
875
 B"00001000", B"00100100", B"00011000", B"00110001", B"00011111",
876
 B"00011100", B"11010000", B"01000100", B"00100110", B"11011100",
877
 B"00100001", B"00011011", B"11100111", B"00010011", B"00011000",
878
 B"11010100", B"00001001", B"00101100", B"11101000", B"11101110",
879
 B"00110001", B"11100011", B"00010001", B"11100101", B"00100010",
880
 B"11011101", B"11010010", B"00100111", B"00101000", B"11101111",
881
 B"00100001", B"11100001", B"00101100", B"11101001", B"11001000",
882
 B"00010010", B"00100111", B"00010001", B"11011001", B"00110011",
883
 B"11011001", B"11100100", B"11100110", B"00011011", B"00101000",
884
 B"00100101", B"11011010", B"11100011", B"00101001", B"11100001",
885
 B"11101001", B"11100111", B"11011010", B"11101001", B"00010010",
886
 B"00100010", B"00101110", B"11100010", B"00100110", B"11101010",
887
 B"00010100", B"00110101", B"00011010", B"00100100", B"11100100",
888
 B"00100010", B"11011100", B"00011000", B"11110111", B"00100011",
889
 B"11001101", B"00010111", B"00011010", B"11101100", B"00111000",
890
 B"00000010", B"11011110", B"11011001", B"11010101", B"11100111",
891
 B"11011100", B"00011110", B"00011100", B"00100000", B"00010111",
892
 B"00100111", B"00011101", B"11000111", B"11010001", B"00011011",
893
 B"00101110", B"11110000", B"11101011", B"00001111", B"11101000",
894
 B"11101010", B"11011111", B"11100101", B"00011011", B"11011101",
895
 B"00010101", B"11011100", B"00100011", B"00100010", B"11100111",
896
 B"11011001", B"11001100", B"11101100", B"11101100", B"00100011",
897
 B"11101100", B"11010010", B"11011110", B"11010111", B"00110101",
898
 B"11101000", B"00010011", B"00010110", B"11010010", B"11100110",
899
 B"00100000", B"11000010", B"11011110", B"11010010", B"11010101",
900
 B"11100000", B"11011111", B"00100000", B"00011111", B"00101000",
901
 B"00011000", B"11011101", B"11100101", B"11100111", B"00010110",
902
 B"11011100", B"00100000", B"11110000", B"11110110", B"00100111",
903
 B"00011011", B"11100011", B"00010100", B"00011010", B"11010110",
904
 B"11011001", B"11101110", B"11100100", B"11000011", B"00011001",
905
 B"00101100", B"11100010", B"11100000", B"00100001", B"00010111",
906
 B"11011010", B"11010011", B"00010100", B"00011010", B"00010100",
907
 B"00100011", B"00100011", B"00110011", B"00101101", B"00010001",
908
 B"00011101", B"00110001", B"11100100", B"00011011", B"00010011",
909
 B"11100111", B"11101000", B"00110100", B"00011010", B"11101001",
910
 B"11011111", B"00011110", B"11011110", B"11100101", B"11101000",
911
 B"11101101", B"00001111", B"00010010", B"00100011", B"00101100",
912
 B"00100111", B"00100001", B"00001111", B"00011000", B"11011000",
913
 B"11100101", B"00001110", B"00101001", B"00100001", B"00011010",
914
 B"11100101", B"11011010", B"11011100", B"11100110", B"00101010",
915
 B"11010100", B"00100100", B"11011011", B"11011011", B"00100010",
916
 B"00101000", B"11001001", B"00100111", B"00101110", B"11100000",
917
 B"00101010", B"00011110", B"11100010", B"00101000", B"00011000",
918
 B"11100010", B"00000111", B"00101000", B"11011011", B"11100000",
919
 B"00101001", B"11011110", B"11101100", B"11010011", B"00100000",
920
 B"00100100", B"11100010", B"00100111", B"11001010", B"00010001",
921
 B"00101100", B"11100101", B"11100000", B"00010000", B"00100000",
922
 B"11101011", B"11110011", B"00100011", B"00101110", B"00100001",
923
 B"11110000", B"00101001", B"11110100", B"00101011", B"11101111",
924
 B"11001000", B"00010111", B"11011101", B"11100011", B"11011011",
925
 B"00100101", B"00110000", B"11100010", B"00101011", B"00101111",
926
 B"00101011", B"00010101", B"00001011", B"00011100", B"00100001",
927
 B"00001101", B"11011001", B"00010110", B"11101110", B"00101011",
928
 B"11110011", B"11101100", B"00100000", B"00101101", B"00100001",
929
 B"00011011", B"11011101", B"11100001", B"00010111", B"00011010",
930
 B"11100111", B"11011110", B"00100001", B"00101111", B"11110011",
931
 B"11010010", B"00011000", B"00010110", B"11111000", B"11001111",
932
 B"00101010", B"11101010", B"11010011", B"11100000", B"11110001",
933
 B"00011101", B"11011100", B"00110000", B"00101001", B"11011110",
934
 B"00101111", B"00100001", B"11010110", B"00101111", B"00101000",
935
 B"11101100", B"00101011", B"00010100", B"00010011", B"11011001",
936
 B"00100010", B"11110011", B"00010011", B"11100000", B"11010100",
937
 B"11100101", B"00010111", B"00011001", B"00011110", B"00100001",
938
 B"11011110", B"11011010", B"11011001", B"00101000", B"00011111",
939
 B"00010011", B"11001110", B"00001010", B"11010010", B"00011010",
940
 B"11101010", B"11011101", B"00010010", B"11100011", B"00000110",
941
 B"11011011", B"11010010", B"00110000", B"00011011", B"11100001",
942
 B"00010101", B"00100010", B"11100011", B"00100001", B"00011011",
943
 B"11011101", B"11110001", B"11101011", B"00110110", B"11100101",
944
 B"00101100", B"11100100", B"11101100", B"00100001", B"00001110",
945
 B"00011001", B"11100011", B"00100010", B"00100000", B"11011011",
946
 B"11100001", B"00101000", B"11101011", B"00010100", B"11100100",
947
 B"11100001", B"11100010", B"00100111", B"00011001", B"11010011",
948
 B"11100111", B"00010011", B"11110000", B"00010110", B"11010000",
949
 B"11100101", B"00011001", B"00000110", B"11100110", B"00011010",
950
 B"11100000", B"11011000", B"11000110", B"00010000", B"00101010",
951
 B"11101001", B"00011010", B"00101000", B"11011110", B"11010100",
952
 B"00100100", B"00010110", B"11111000", B"11100010", B"11011110",
953
 B"00001010", B"00011101", B"00011010", B"11101010", B"00100000",
954
 B"11100011", B"00101000", B"11101110", B"00110000", B"00011010",
955
 B"00100100", B"11100110", B"00110001", B"11010111", B"00010000",
956
 B"00101010", B"00110101", B"11011011", B"00101101", B"00001100",
957
 B"11110000", B"11100011", B"00100100", B"11100010", B"00011111",
958
 B"11100000", B"00010000", B"11100100", B"11101000", B"00110000",
959
 B"00101101", B"00101010", B"00101100", B"11010010", B"00100101",
960
 B"00100101", B"11100010", B"11011111", B"00010100", B"00010111",
961
 B"11101101", B"11101001", B"00110001", B"11101111", B"11100000",
962
 B"11010100", B"11101111", B"11101000", B"11011101", B"00010000",
963
 B"00110010", B"00011100", B"00101101", B"11101001", B"11100011",
964
 B"11011011", B"11100101", B"11100000", B"00100111", B"00010011",
965
 B"11010010", B"00100011", B"11011111", B"00010111", B"00100001",
966
 B"11010001", B"00011100", B"00011101", B"11001101", B"11100100",
967
 B"00100101", B"00011011", B"11010001", B"11011100", B"00011111",
968
 B"11101000", B"11101101", B"11010110", B"11101000", B"00010100",
969
 B"00100010", B"00011101", B"11001111", B"00010000", B"11100011",
970
 B"00110110", B"11011001", B"00001010", B"11011001", B"11101010",
971
 B"11011000", B"11100000", B"00101000", B"11101001", B"00100100",
972
 B"11010010", B"11010001", B"00001111", B"11101000", B"00100101",
973
 B"11101010", B"11100000", B"00010111", B"11011001", B"11101100",
974
 B"00100001", B"11010101", B"00110110", B"11101010", B"11100110",
975
 B"00010000", B"11100011", B"11010011", B"11011000", B"11100101",
976
 B"00100010", B"00101000", B"00100000", B"00010001", B"00101010",
977
 B"00011111", B"11110000", B"00011101", B"00010110", B"11100000",
978
 B"11011100", B"00011001", B"00100000", B"00010101", B"00011111",
979
 B"11011101", B"00010010", B"11101001", B"00100101", B"11001100",
980
 B"00100001", B"00101110", B"11100111", B"11010011", B"00010001",
981
 B"00101110", B"11101011", B"11001010", B"00101111", B"11011000",
982
 B"11010110", B"00100011", B"11011010", B"11010000", B"11100011",
983
 B"11110001", B"00011110", B"11110010", B"00011000", B"00101001",
984
 B"11011110", B"00101110", B"00011111", B"11011110", B"00010110",
985
 B"11011100", B"00010111", B"11010100", B"11011100", B"11001110",
986
 B"00010101", B"00011011", B"11001000", B"00101000", B"00011001",
987
 B"11101001", B"11110100", B"11010011", B"11100000", B"11101001",
988
 B"00010001", B"00100000", B"11100010", B"11100010", B"00101010",
989
 B"00011011", B"11011000", B"11100111", B"00011100", B"00101110",
990
 B"00101000", B"00100001", B"00001001", B"00010101", B"00011100",
991
 B"00000101", B"00010100", B"11101000", B"00111010", B"11100111",
992
 B"11100000", B"11100010", B"00100001", B"00100000", B"11010101",
993
 B"11100010", B"11010110", B"11100011", B"00100110", B"00101010",
994
 B"00011110", B"00011110", B"00011011", B"00100010", B"11001110",
995
 B"00101010", B"00010011", B"11101011", B"11100001", B"00111001",
996
 B"11100011", B"11100001", B"11011111", B"00010101", B"00010000",
997
 B"11011010", B"00010111", B"11100101", B"11100010", B"00110010",
998
 B"11011110", B"00100110", B"11100011", B"11100100", B"00101010",
999
 B"00100000", B"11100010", B"11010111", B"11100000", B"11101001",
1000
 B"00010100", B"00101000", B"00100110", B"00101110", B"00010010",
1001
 B"00010100", B"11101010", B"11010101", B"00011110", B"00010101",
1002
 B"11010110", B"11100100", B"11101010", B"11011000", B"00100100",
1003
 B"11100010", B"00110010", B"11011100", B"11100100", B"00011011",
1004
 B"00001010", B"00110000", B"11110010", B"11011101", B"00010010",
1005
 B"00101000", B"11001001", B"11000011", B"00011011", B"00010011",
1006
 B"00101010", B"00100001", B"00100101", B"00011011", B"00011001",
1007
 B"00101101", B"00100010", B"11101011", B"00100010", B"11110101",
1008
 B"11011001", B"11001010", B"00100000", B"00011000", B"00011110",
1009
 B"00100001", B"11110001", B"11100010", B"00100011", B"00011101",
1010
 B"11010101", B"11100001", B"00011010", B"11101110", B"00101010",
1011
 B"00100110", B"11011000", B"00011011", B"00100000", B"11100101",
1012
 B"00011010", B"11100101", B"00011011", B"11010100", B"11100011",
1013
 B"11111010", B"00110000", B"00100001", B"00001101", B"11011111",
1014
 B"11110011", B"11010011", B"11110101", B"00011111", B"11100010",
1015
 B"00011101", B"11001011", B"11100001", B"00110101", B"00100000",
1016
 B"00010011", B"00000011", B"11101100", B"11001110", B"00100111",
1017
 B"11111100", B"00100110", B"11100111", B"11111100", B"11111010",
1018
 B"00011011", B"00100010", B"00011011", B"00010000", B"11101000",
1019
 B"00100001", B"00110010", B"11011100", B"11011001", B"00011110",
1020
 B"11101001", B"11010101", B"00101011", B"11101001", B"00101011",
1021
 B"11010000", B"11001011", B"00011101", B"00011000", B"00011010",
1022
 B"11100110", B"00010111", B"00001100", B"11010111", B"11010100",
1023
 B"00011111", B"11100010", B"11001110", B"00011011", B"00100110",
1024
 B"00100101", B"00011011", B"11100101", B"11110000", B"11011001",
1025
 B"11010111", B"11100100", B"00101001", B"00010110", B"11011011",
1026
 B"00010001", B"11100010", B"11010111", B"11101001", B"11101100",
1027
 B"00011001", B"00110101", B"11011111", B"00011111", B"11110010",
1028
 B"00101111", B"00101010", B"11100001", B"11100001", B"00010110",
1029
 B"00011100", B"11100111", B"11011101", B"00100001", B"11010001",
1030
 B"00101101", B"11011110", B"11011010", B"11010001", B"00101010",
1031
 B"00010100", B"11100101", B"00100001", B"00001101", B"11011101",
1032
 B"11100101", B"11011100", B"11010100", B"11010101", B"00011110",
1033
 B"11100010", B"11100001", B"11100110", B"11011110", B"00011100",
1034
 B"11110100", B"00101111", B"00110000", B"00010011", B"00001101",
1035
 B"11101101", B"00110001", B"11100111", B"00101101", B"11011101",
1036
 B"00100110", B"00101010", B"11100000", B"11101000", B"00011001",
1037
 B"00010011", B"11100010", B"11010110", B"11010101", B"00010001",
1038
 B"00011010", B"00010101", B"11011100", B"00100100", B"11100000",
1039
 B"00001001", B"00000111", B"00011101", B"11011111", B"00011100",
1040
 B"00010000", B"11100000", B"11101001", B"00011110", B"00101000",
1041
 B"00110011", B"00011011", B"11010010", B"00011001", B"11011100",
1042
 B"00010011", B"11101011", B"11011111", B"00010011", B"00011010",
1043
 B"00101100", B"11110001", B"00100001", B"11101010", B"00000010",
1044
 B"11100001", B"00101000", B"11010001", B"00011110", B"11100000",
1045
 B"11100010", B"00100011", B"00100100", B"00100010", B"11011101",
1046
 B"11100101", B"00011000", B"11010010", B"11001011", B"11100001",
1047
 B"11101010", B"00011011", B"11100011", B"00100100", B"11110100",
1048
 B"11100011", B"11110011", B"00101010", B"00010101", B"00101001",
1049
 B"00011100", B"11011011", B"00010001", B"00011111", B"11110100",
1050
 B"11011100", B"00101010", B"00101001", B"11011000", B"00011001",
1051
 B"11101010", B"11011110", B"11110001", B"00100010", B"00100011",
1052
 B"00101111", B"00101000", B"11011001", B"00100101", B"00101001",
1053
 B"11010110", B"11010011", B"00101001", B"11101001", B"00101101",
1054
 B"11011000", B"10111111", B"11011110", B"00011000", B"00111001",
1055
 B"11100111", B"11110110", B"11011000", B"11011101", B"11100111",
1056
 B"00100000", B"00001010", B"00011001", B"00101110", B"11011011",
1057
 B"11011111", B"11100000", B"00011101", B"00010011", B"11001111",
1058
 B"00100000", B"11110101", B"00011101", B"11011111", B"00100100",
1059
 B"00010100", B"11101100", B"00010110", B"00011111", B"11101001",
1060
 B"00011010", B"11100010", B"11100010", B"00100010", B"11010010",
1061
 B"11100100", B"11100101", B"11010111", B"11100111", B"00010000",
1062
 B"00011010", B"11001111", B"11011101", B"11001111", B"11010111",
1063
 B"11011000", B"00101001", B"11101110", B"11010011", B"00100101",
1064
 B"11110011", B"11010100", B"11100001", B"11100110", B"00110101",
1065
 B"11110001", B"11100100", B"11111000", B"11011101", B"00101110",
1066
 B"11010110", B"00010001", B"00101000", B"11011101", B"11101110",
1067
 B"11011000", B"11011100", B"00100101", B"11100011", B"00100110",
1068
 B"11010110", B"00011110", B"00101010", B"00100001", B"11010100",
1069
 B"00010110", B"11010100", B"00111100", B"00100010", B"00100000",
1070
 B"00010010", B"11011000", B"00011010", B"11100011", B"00001110",
1071
 B"11011010", B"00001110", B"11010111", B"11101010", B"11100011",
1072
 B"11100100", B"00101001", B"11010101", B"00100011", B"11100110",
1073
 B"11100110", B"00101100", B"11011011", B"00101101", B"11100110",
1074
 B"11011001", B"00100011", B"11110001", B"11010001", B"11100110",
1075
 B"00011010", B"00000001", B"11011111", B"00110101", B"11011100",
1076
 B"11110000", B"00100010", B"11100101", B"11110010", B"11010010",
1077
 B"00011010", B"00100110", B"11101011", B"11011000", B"11100001",
1078
 B"11011100", B"11100010", B"00100011", B"00101001", B"00001111",
1079
 B"00101010", B"00001011", B"00011100", B"11001111", B"00011101",
1080
 B"00010111", B"11101010", B"11110100", B"00100111", B"00010110",
1081
 B"11100010", B"00101000", B"00001101", B"11110000", B"00101000",
1082
 B"00100111", B"00001011", B"11100001", B"11010101", B"11111011",
1083
 B"00011001", B"00100100", B"11100110", B"00001101", B"11011000",
1084
 B"00100101", B"11100111", B"11100000", B"11101110", B"11000101",
1085
 B"00010101", B"11100010", B"00011010", B"00011011", B"00100101",
1086
 B"00001100", B"00011001", B"00100010", B"00011110", B"00011001",
1087
 B"01000000", B"11011111", B"00100101", B"11100001", B"11100100",
1088
 B"11101000", B"00100101", B"00101000", B"11110101", B"00100010",
1089
 B"00100111", B"00101000", B"11100001", B"00100001", B"11011010",
1090
 B"00101010", B"11011000", B"00001011", B"00100001", B"11111000",
1091
 B"00101001", B"00011010", B"11100101", B"11100000", B"00011000",
1092
 B"00101010", B"00100110", B"00011111", B"00011110", B"00010001",
1093
 B"00100010", B"00110110", B"00010001", B"11011110", B"00100101",
1094
 B"11011011", B"11011011", B"11011001", B"00100100", B"00011010",
1095
 B"11010011", B"00011001", B"00011001", B"00011111", B"00110111",
1096
 B"00101100", B"00101000", B"00001101", B"00011100", B"00011001",
1097
 B"11101111", B"00011110", B"11101011", B"11100010", B"11101100",
1098
 B"00100110", B"00100101", B"00101111", B"00100100", B"00010110",
1099
 B"11011101", B"00100010", B"11100000", B"00101000", B"11010011",
1100
 B"11101000", B"11100110", B"11011001", B"11011111", B"00110110",
1101
 B"00011111", B"00010100", B"00100011", B"00100010", B"00100001",
1102
 B"00100110", B"00101001", B"00101101", B"00011110", B"00001100",
1103
 B"00101011", B"11100000", B"11011011", B"00010110", B"11001111",
1104
 B"00010110", B"11101000", B"11010010", B"00010100", B"11100000",
1105
 B"11011101", B"00100011", B"11011101", B"00100100", B"11010111",
1106
 B"11001010", B"00101101", B"00100110", B"00101001", B"11100010",
1107
 B"00110101", B"00110001", B"11101001", B"10111100", B"00100100",
1108
 B"11101101", B"00010111", B"11011100", B"00000001", B"11010000",
1109
 B"00110000", B"00011000", B"11100110", B"11100100", B"11011110",
1110
 B"11101100", B"00010111", B"00010111", B"11100100", B"00100011",
1111
 B"11101000", B"11101001", B"11001111", B"11100000", B"00100011",
1112
 B"00011100", B"11011011", B"00011001", B"11100101", B"00101001",
1113
 B"00010000", B"00100101", B"11100110", B"00101110", B"11011111",
1114
 B"00010110", B"11101111", B"00011110", B"00100111", B"11001011",
1115
 B"00001111", B"00100110", B"11010010", B"11011101", B"00100110",
1116
 B"11100101", B"00101100", B"11011110", B"11100010", B"11001001",
1117
 B"00100111", B"00100001", B"11101100", B"00101110", B"00101111",
1118
 B"11011100", B"11101111", B"00100011", B"00011011", B"11010100",
1119
 B"11010001", B"11001100", B"11100000", B"00010000", B"11110110",
1120
 B"00011110", B"11011111", B"11100110", B"00110011", B"00100011",
1121
 B"11010010", B"00101110", B"11100000", B"11010001", B"11110110",
1122
 B"00101011", B"00100110", B"11001000", B"00011101", B"11100001",
1123
 B"11100110", B"11000110", B"00011110", B"00111001", B"11100010",
1124
 B"11010100", B"11110010", B"00100000", B"00011001", B"00100101",
1125
 B"00100111", B"11100000", B"11011100", B"11101010", B"11101111",
1126
 B"00010111", B"00011010", B"00011110", B"00011110", B"11010000",
1127
 B"11011110", B"11101000", B"00110010", B"00010000", B"11101111",
1128
 B"11100101", B"11011111", B"11011111", B"11010010", B"00100111",
1129
 B"11101010", B"00100110", B"11111000", B"11100110", B"11100101",
1130
 B"00101011", B"00010100", B"00100000", B"00011010", B"00110000",
1131
 B"00100101", B"00101010", B"00001110", B"00100010", B"00010101",
1132
 B"00100111", B"11101010", B"11100011", B"11100110", B"11010110",
1133
 B"00011010", B"11011000", B"00010000", B"11011101", B"11100011",
1134
 B"11010111", B"11110010", B"00001110", B"00000110", B"00101000",
1135
 B"00011001", B"11110011", B"00001100", B"00101101", B"00100101",
1136
 B"11011000", B"00010101", B"11010111", B"00101100", B"00101011",
1137
 B"00110110", B"11100000", B"00010110", B"00011100", B"11010110",
1138
 B"11110010", B"00010110", B"00011000", B"11100110", B"00001101",
1139
 B"00011011", B"11100110", B"00011101", B"00010101", B"11001100",
1140
 B"11100111", B"11011100", B"00101010", B"00011110", B"00011010",
1141
 B"00011010", B"11011011", B"11001111", B"11010111", B"00011100",
1142
 B"00011101", B"11100000", B"11100011", B"11101010", B"11110100",
1143
 B"11001001", B"00011000", B"11101001", B"11010001", B"11010100",
1144
 B"11100101", B"00100100", B"11100010", B"00011010", B"11100110",
1145
 B"00100111", B"00011000", B"11010111", B"11100110", B"11101110",
1146
 B"11100111", B"11001001", B"00100001", B"11100110", B"00011101",
1147
 B"11010011", B"11011110", B"11100101", B"00100101", B"00010001",
1148
 B"00110001", B"00001111", B"00011011", B"00100110", B"00100100",
1149
 B"00101111", B"00101001", B"00011010", B"11101110", B"11010111",
1150
 B"11011010", B"00011000", B"00100000", B"11011011", B"00011010",
1151
 B"11010000", B"11010101", B"00111000", B"00110111", B"00011010",
1152
 B"11011001", B"00100001", B"11100000", B"00010101", B"11010000",
1153
 B"00010110", B"11011101", B"11010110", B"11100111", B"00011010",
1154
 B"00011001", B"11110011", B"00100100", B"11010101", B"11101011",
1155
 B"11011100", B"11011101", B"00100001", B"11011010", B"00111001",
1156
 B"00011011", B"11011111", B"00011101", B"11011100", B"11100101",
1157
 B"11100100", B"00010110", B"00010100", B"11011111", B"00100010",
1158
 B"00011010", B"11010011", B"11111010", B"11100010", B"11010001",
1159
 B"11111001", B"00011101", B"11000010", B"00100101", B"11101111",
1160
 B"11100001", B"11001100", B"00010110", B"00101010", B"00000110",
1161
 B"00001110", B"00011010", B"00010001", B"00100100", B"00100110",
1162
 B"00110111", B"00101111", B"11101001", B"11100011", B"00010111",
1163
 B"00101100", B"00011101", B"00100110", B"11011111", B"11010110",
1164
 B"00100110", B"11101101", B"11011001", B"11100000", B"11100110",
1165
 B"00011010", B"11011111", B"00110011", B"11101000", B"00010110",
1166
 B"00100111", B"11100100", B"11110010", B"11011111", B"11101001",
1167
 B"11010010", B"11100000", B"11100110", B"11100001", B"00101010",
1168
 B"00111001", B"11010011", B"00011100", B"11101001", B"11011101",
1169
 B"00011111", B"00011110", B"11101000", B"11101110", B"11100100",
1170
 B"11101100", B"11101110", B"11101100", B"00010100", B"11101000",
1171
 B"11011111", B"11011010", B"00110100", B"00111001", B"11010100",
1172
 B"11011111", B"00101111", B"11000100", B"00010001", B"11011101",
1173
 B"11011110", B"00100110", B"00011101", B"00001011", B"00001100",
1174
 B"11101110", B"11001111", B"00101110", B"00010111", B"11100001",
1175
 B"11010111", B"11010100", B"11011101", B"00000101", B"11011100",
1176
 B"00010010", B"11101110", B"11001100", B"00100000", B"11110000",
1177
 B"11101011", B"00100100", B"11100000", B"00100011", B"11010110",
1178
 B"11101010", B"00110010", B"00110010", B"11010011", B"00101011",
1179
 B"11101010", B"11110001", B"11011001", B"00010010", B"00100010",
1180
 B"00001101", B"11001011", B"00011111", B"11110111", B"11010001",
1181
 B"11110010", B"00011110", B"00011011", B"11011001", B"11011110",
1182
 B"11100111", B"00110000", B"00011001", B"11011100", B"00011100",
1183
 B"11011010", B"11001111", B"00101110", B"11011100", B"11101110",
1184
 B"11100010", B"00010110", B"00101011", B"11011011", B"11000100",
1185
 B"00010001", B"11100111", B"11101000", B"11100100", B"00011111",
1186
 B"00011010", B"11100010", B"11101001", B"11100110", B"00011100",
1187
 B"11011111", B"00101001", B"11011100", B"11101000", B"00001010",
1188
 B"00100000", B"11100010", B"11100111", B"11011000", B"11011010",
1189
 B"00001000", B"11010100", B"00100111", B"00100010", B"11011100",
1190
 B"00010101", B"11011001", B"11010011", B"11111000", B"00011111",
1191
 B"00100100", B"11100110", B"00110010", B"11101011", B"00110100",
1192
 B"11010111", B"11011110", B"00011011", B"00101110", B"11101111",
1193
 B"11101010", B"00100001", B"11000110", B"00010011", B"11011110",
1194
 B"11101100", B"00011110", B"11001001", B"11000100", B"00101101",
1195
 B"11011111", B"00101100", B"11001111", B"11011111", B"00001110",
1196
 B"11011100", B"00100101", B"00010110", B"00011110", B"11011010",
1197
 B"00111110", B"11101010", B"00100111", B"11000100", B"11010110",
1198
 B"00011110", B"11110000", B"00101110", B"11100011", B"11010110",
1199
 B"00011110", B"00011101", B"00101010", B"00010100", B"11010101",
1200
 B"00110001", B"11011011", B"00100000", B"11100100", B"11010010",
1201
 B"11010110", B"11010011", B"00101100", B"00100101", B"11100011",
1202
 B"00101001", B"11101100", B"00101010", B"11010110", B"00011101",
1203
 B"11100000", B"11010101", B"11011001", B"00111000", B"00101111",
1204
 B"00011111", B"11100000", B"00101111", B"00111100", B"11101110",
1205
 B"00011010", B"00100010", B"11100000", B"00011111", B"00011011",
1206
 B"00001111", B"11100100", B"00100000", B"11100110", B"00011001",
1207
 B"11011111", B"00011011", B"00100011", B"11100001", B"00100100",
1208
 B"00011110", B"11011000", B"11101111", B"00101000", B"11100110",
1209
 B"00001101", B"11011011", B"11100011", B"11100100", B"00100000",
1210
 B"00001110", B"11101100", B"11110111", B"00100000", B"00101111",
1211
 B"11011011", B"11011001", B"11100000", B"11011110", B"11010111",
1212
 B"11010010", B"00100100", B"00101100", B"11100001", B"11101010",
1213
 B"11010111", B"11011011", B"11101001", B"11100010", B"11100000",
1214
 B"11010110", B"00100001", B"00010110", B"11101111", B"00011011",
1215
 B"11011110", B"11010101", B"11011110", B"00010001", B"11011010",
1216
 B"00011100", B"11100100", B"11010111", B"00100000", B"00100011",
1217
 B"11101101", B"00001111", B"11100101", B"11100000", B"11011000",
1218
 B"00011000", B"00011010", B"00000100", B"11011101", B"11110000",
1219
 B"00100111", B"11010001", B"11101001", B"11011011", B"11010011",
1220
 B"00101010", B"00100110", B"00010011", B"11100001", B"00011111",
1221
 B"11011111", B"00001100", B"11100000", B"11011110", B"11100010",
1222
 B"00001111", B"11011000", B"00010101", B"11011111", B"11010100",
1223
 B"00011001", B"00011100", B"00101100", B"11100110", B"11011110",
1224
 B"00010000", B"00001010", B"11100111", B"11111000", B"00011000",
1225
 B"11010001", B"11110111", B"11000110", B"11011100", B"00110101",
1226
 B"11101010", B"00010100", B"00011001", B"11100011", B"11010011",
1227
 B"00101111", B"11011111", B"11011010", B"11011001", B"11101111",
1228
 B"00101001", B"00101111", B"00011111", B"11101001", B"00100101",
1229
 B"11110010", B"00011101", B"11011001", B"00011000", B"00010111",
1230
 B"11010100", B"11101001", B"00011110", B"00001101", B"11100101",
1231
 B"11100010", B"11011011", B"11101100", B"11011100", B"11100110",
1232
 B"00011100", B"00100111", B"00001011", B"00001101", B"00001000",
1233
 B"11011111", B"00010111", B"11100110", B"11011000", B"11100101",
1234
 B"00000101", B"00101111", B"11011101", B"11011011", B"00100010",
1235
 B"11010000", B"00011100", B"11101010", B"11011000", B"00110001",
1236
 B"11110110", B"11100101", B"11101001", B"11011000", B"00011011",
1237
 B"00010010", B"00100101", B"00011001", B"00010000", B"00100110",
1238
 B"00100110", B"00100100", B"00011001", B"00100111", B"00100111",
1239
 B"00100110", B"11111010", B"00011101", B"00110000", B"00111010",
1240
 B"11100000", B"00100101", B"11010111", B"00011010", B"11100010",
1241
 B"00010111", B"00110000", B"11010110", B"11101100", B"11100001",
1242
 B"11110000", B"11101101", B"11100001", B"11011110", B"11101010",
1243
 B"11101110", B"00011100", B"00101010", B"00100000", B"00010000",
1244
 B"11011101", B"11110010", B"00101001", B"00110001", B"00011100",
1245
 B"00100000", B"11100110", B"11100001", B"00101010", B"11110101",
1246
 B"11010010", B"00011100", B"11011111", B"11001010", B"11011101",
1247
 B"11100111", B"00010101", B"00101000", B"00011001", B"11010111",
1248
 B"00100101", B"11101111", B"00100100", B"11011011", B"11011100",
1249
 B"11110011", B"00101100", B"00011110", B"00100000", B"00011110",
1250
 B"11011101", B"11101001", B"11010110", B"00101010", B"11100101",
1251
 B"00010101", B"11010010", B"11101011", B"00100101", B"00011100",
1252
 B"11100000", B"11001110", B"11011110", B"11101110", B"00101001",
1253
 B"00010001", B"00101001", B"00011111", B"00011110", B"00100010",
1254
 B"11100000", B"11100100", B"00011010", B"00100110", B"11011100",
1255
 B"11100111", B"11001110", B"00100011", B"00110000", B"00010010",
1256
 B"11010110", B"00100110", B"11100101", B"00100000", B"00010100",
1257
 B"11010111", B"00010110", B"00101010", B"11001001", B"00110100",
1258
 B"00001001", B"11101101", B"11100000", B"11010110", B"00100000",
1259
 B"11101011", B"00100001", B"11100011", B"11000101", B"00011000",
1260
 B"00110100", B"11011001", B"00100000", B"00010111", B"11111000",
1261
 B"00010111", B"00101100", B"11100111", B"00011111", B"11101011",
1262
 B"00100110", B"00101001", B"11011001", B"00100110", B"00011010",
1263
 B"11110011", B"00001101", B"11000110", B"11100011", B"11100000",
1264
 B"11011110", B"00010010", B"11010111", B"00011010", B"11010101",
1265
 B"01000001", B"11101011", B"11110011", B"11010111", B"00100010",
1266
 B"00101010", B"11101000", B"11011111", B"11100011", B"11011111",
1267
 B"00100101", B"00101100", B"11101111", B"00101101", B"11100111",
1268
 B"00001110", B"11100110", B"00010100", B"11010101", B"11100010",
1269
 B"11101100", B"00011001", B"00010010", B"00101101", B"11011000",
1270
 B"00111001", B"11101110", B"11011111", B"11101100", B"00101001",
1271
 B"00100111", B"11001110", B"00101100", B"11010100", B"11011100",
1272
 B"11100001", B"00011101", B"00101110", B"11101011", B"11110001",
1273
 B"00100000", B"00011110", B"11011001", B"11011100", B"11011111",
1274
 B"11001111", B"11110011", B"00100000", B"00011000", B"00010011",
1275
 B"11100010", B"00100110", B"11100110", B"00001011", B"11100110",
1276
 B"00010011", B"11100011", B"11001111", B"00001110", B"11010010",
1277
 B"11101100", B"11010111", B"11100000", B"00101010", B"11011001",
1278
 B"11011100", B"00101101", B"11110101", B"11010100", B"11100110",
1279
 B"11100000", B"11101010", B"11011001", B"11100110", B"11110010",
1280
 B"00001111", B"00101011", B"00010110", B"00010100", B"11111000",
1281
 B"11011001", B"11011011", B"00100110", B"00100100", B"11100111",
1282
 B"00100011", B"11010011", B"11100100", B"11100001", B"00100011",
1283
 B"00010100", B"00010110", B"00011110", B"11100000", B"00000011",
1284
 B"11110110", B"11101000", B"00100000", B"00100110", B"00110100",
1285
 B"00100010", B"11011011", B"11110100", B"11010011", B"00011101",
1286
 B"11010000", B"11011110", B"11100101", B"00011011", B"00010011",
1287
 B"11001110", B"11100001", B"00100011", B"00011110", B"00001111",
1288
 B"11101111", B"00101110", B"11011101", B"00101001", B"11100101",
1289
 B"00101101", B"11101011", B"00010110", B"11101100", B"11101110",
1290
 B"00111000", B"00011111", B"11001101", B"11001111", B"00101001",
1291
 B"00110001", B"00010101", B"00100100", B"11100100", B"11011111",
1292
 B"11010100", B"00010001", B"00110101", B"11100111", B"11010101",
1293
 B"11011100", B"11011001", B"11110001", B"00100100", B"11001010",
1294
 B"00100000", B"11011101", B"11110110", B"11101101", B"00010000",
1295
 B"00101000", B"00101000", B"00011001", B"11110101", B"00100110",
1296
 B"00011000", B"11110001", B"11010110", B"00011111", B"00101111",
1297
 B"00100111", B"11110001", B"00100011", B"00100101", B"11011111",
1298
 B"11110010", B"00100110", B"00100110", B"00101101", B"11100001",
1299
 B"11100000", B"00100011", B"00101101", B"11011100", B"11100000",
1300
 B"11011110", B"00100011", B"00100111", B"11100000", B"00000110",
1301
 B"11100111", B"11010001", B"11011001", B"00011011", B"00010000",
1302
 B"11100111", B"00100100", B"00011111", B"11010111", B"11010010",
1303
 B"00110000", B"00011101", B"00011001", B"11110000", B"00101110",
1304
 B"00000011", B"11110000", B"11100000", B"00011110", B"00001011",
1305
 B"11010110", B"11001101", B"11110001", B"11100000", B"00010010",
1306
 B"11011111", B"00101100", B"11001111", B"11100101", B"11011010",
1307
 B"11101000", B"00001001", B"00010001", B"00011100", B"00101001",
1308
 B"00010101", B"11011011", B"00011100", B"00100000", B"11101011",
1309
 B"00100111", B"00101010", B"11100101", B"00101000", B"00011111",
1310
 B"00001010", B"11101010", B"00011011", B"11001110", B"00110010",
1311
 B"11100100", B"00110011", B"00100000", B"00001111", B"00011001",
1312
 B"00010110", B"00010100", B"00100011", B"00110101", B"11100000",
1313
 B"00100001", B"00011001", B"11110101", B"11100100", B"11010101",
1314
 B"11100111", B"11011010", B"11010101", B"11101010", B"00101111",
1315
 B"00100111", B"00100111", B"00011110", B"11100010", B"11100001",
1316
 B"00001110", B"00100101", B"11011011", B"00011011", B"00010011",
1317
 B"11110111", B"11011010", B"00101000", B"11100000", B"00010100",
1318
 B"11100100", B"00011011", B"11101110", B"11101011", B"00011111",
1319
 B"00010101", B"00100101", B"11100100", B"00101010", B"00100011",
1320
 B"11100000", B"00011111", B"00101101", B"11101111", B"00011010",
1321
 B"11101000", B"11101010", B"00101011", B"11100111", B"11010110",
1322
 B"11010101", B"11011100", B"00100011", B"00011100", B"11101000",
1323
 B"00011111", B"00110001", B"11011111", B"11110100", B"00100101",
1324
 B"00011100", B"00110100", B"00101011", B"11100100", B"00101010",
1325
 B"11011011", B"00100010", B"11100111", B"11011100", B"11110001",
1326
 B"11101010", B"11010111", B"00100001", B"00001111", B"00010100",
1327
 B"00011000", B"00100001", B"11100000", B"00100000", B"00100101",
1328
 B"11010111", B"00100001", B"00101110", B"11100011", B"00101110",
1329
 B"00011000", B"00100010", B"11010011", B"00100010", B"11010000",
1330
 B"00101110", B"11010100", B"00011011", B"11011111", B"00101111",
1331
 B"11100000", B"11101011", B"11011000", B"00100000", B"00110111",
1332
 B"00110001", B"00110000", B"00011110", B"11010001", B"00100001",
1333
 B"11010110", B"00100100", B"11010101", B"00100100", B"00011010",
1334
 B"11010001", B"00011111", B"00010011", B"11100100", B"11010111",
1335
 B"00010001", B"00000011", B"11101101", B"11100111", B"11100001",
1336
 B"11101110", B"00101000", B"11010101", B"00010000", B"00110001",
1337
 B"00100100", B"11010101", B"00111111", B"00010100", B"11100001",
1338
 B"11011111", B"00101011", B"11011001", B"11001001", B"11000010",
1339
 B"00010110", B"00100101", B"11010011", B"00010110", B"11101101",
1340
 B"00100010", B"11100010", B"00011111", B"00011111", B"11010001",
1341
 B"00101111", B"00101000", B"11100111", B"00101000", B"00100001",
1342
 B"00100100", B"11001111", B"00100011", B"11011100", B"00101101",
1343
 B"11101000", B"11100010", B"11011101", B"00110001", B"00101000",
1344
 B"00110001", B"00100010", B"11100011", B"11100000", B"00010000",
1345
 B"11011101", B"11100001", B"11110001", B"11101011", B"00100000",
1346
 B"11011000", B"00110100", B"11110100", B"11101000", B"00100100",
1347
 B"00010001", B"00100000", B"00101010", B"11110110", B"11100100",
1348
 B"11010110", B"00100011", B"11011101", B"00011010", B"11100110",
1349
 B"11011110", B"00011100", B"00100101", B"00100111", B"00100011",
1350
 B"11100011", B"11011101", B"00011011", B"00011000", B"11100000",
1351
 B"11100000", B"11100101", B"00010100", B"11011111", B"00101101",
1352
 B"11011111", B"11100010", B"00100111", B"00011000", B"11011011",
1353
 B"11100000", B"11100101", B"00101000", B"00100101", B"11100111",
1354
 B"00100000", B"11011101", B"00100111", B"11011010", B"00110101",
1355
 B"00101111", B"11010111", B"00101110", B"00100101", B"11110010",
1356
 B"00100001", B"11100101", B"00110010", B"11001011", B"11010110",
1357
 B"11001101", B"00100010", B"00101001", B"00010001", B"00101100",
1358
 B"00010111", B"11010100", B"00100010", B"11011100", B"00011001",
1359
 B"11001111", B"00101100", B"00101001", B"00110000", B"00110000",
1360
 B"00010111", B"00101000", B"00101000", B"00101100", B"00011011",
1361
 B"11011001", B"00010101", B"00100100", B"11100010", B"00100101",
1362
 B"00001011", B"11011110", B"11001111", B"00100011", B"00010101",
1363
 B"00101010", B"11100111", B"00101000", B"11100001", B"00010101",
1364
 B"11011110", B"00101101", B"00100110", B"11100011", B"11111000",
1365
 B"11100100", B"11110101", B"11100111", B"00011011", B"00110111",
1366
 B"11100110", B"00100000", B"00100100", B"11010110", B"11100100",
1367
 B"00101000", B"00001000", B"11011111", B"00100111", B"00011110",
1368
 B"11011011", B"00001110", B"00011101", B"11101010", B"00110010",
1369
 B"00011101", B"00110100", B"00010000", B"00110011", B"00100010",
1370
 B"00101000", B"00011010", B"00010101", B"00010100", B"11010101",
1371
 B"11011101", B"00101100", B"00011010", B"11101111", B"11101011",
1372
 B"11011111", B"11010110", B"11011011", B"11010000", B"00111000",
1373
 B"00100001", B"00110011", B"00101100", B"11011101", B"00100110",
1374
 B"11011111", B"00011101", B"11110000", B"11100110", B"00100001",
1375
 B"00101011", B"00011000", B"00011111", B"11001000", B"00011101",
1376
 B"00101101", B"11011011", B"11101001", B"00010111", B"00101100",
1377
 B"11101000", B"11011001", B"00100001", B"11100010", B"11001111",
1378
 B"11101111", B"11011000", B"00011111", B"11111110", B"11100110",
1379
 B"11011111", B"11001101", B"00011100", B"11010000", B"00100010",
1380
 B"11101101", B"11111001", B"11001010", B"00101011", B"00110010",
1381
 B"11100101", B"00110001", B"11011100", B"00011111", B"11100011",
1382
 B"00110101", B"11100101", B"11100010", B"11010001", B"00101010",
1383
 B"00011111", B"11100000", B"11101110", B"11011101", B"11111111",
1384
 B"00101000", B"00100100", B"00100000", B"00011000", B"00101000",
1385
 B"11010110", B"11100101", B"00100000", B"11010101", B"11100000",
1386
 B"11010110", B"11010001", B"00011110", B"00011100", B"11101000",
1387
 B"00101000", B"00011110", B"11101010", B"11110010", B"00110000",
1388
 B"00100100", B"00010010", B"11010111", B"11100001", B"00101010",
1389
 B"00101010", B"11011011", B"11100111", B"11011001", B"11100101",
1390
 B"00010111", B"11100100", B"00100110", B"11001111", B"11010000",
1391
 B"00100101", B"00100110", B"00100000", B"00100010", B"11101000",
1392
 B"00100001", B"11001100", B"00110110", B"11011110", B"11100101",
1393
 B"00001011", B"00010011", B"00101011", B"11100000", B"00110111",
1394
 B"11100111", B"00101001", B"11101010", B"11010110", B"00100101",
1395
 B"11000110", B"00100001", B"11101010", B"11011110", B"00100010",
1396
 B"00110000", B"00010001", B"00100010", B"11010000", B"00010110",
1397
 B"11001101", B"00011001", B"11011100", B"11110000", B"11100101",
1398
 B"11100010", B"11010111", B"00011100", B"00100101", B"00001111",
1399
 B"00011111", B"11101010", B"11010111", B"11101001", B"00111001",
1400
 B"00011110", B"11000110", B"00011111", B"11001001", B"11110110",
1401
 B"11101001", B"11000110", B"11011001", B"00100111", B"00011100",
1402
 B"00010111", B"00101001", B"11011010", B"00011011", B"11101101",
1403
 B"11011011", B"11100110", B"00101101", B"00101100", B"11001111",
1404
 B"11100101", B"11110110", B"00001110", B"00010111", B"00001101",
1405
 B"00011111", B"11011000", B"11110010", B"00100100", B"00100001",
1406
 B"00100001", B"11110111", B"00011101", B"11011010", B"00110001",
1407
 B"11100111", B"00011011", B"00101100", B"00010010", B"11101010",
1408
 B"00011000", B"11011010", B"00011110", B"11101000", B"11011100",
1409
 B"11010110", B"11100001", B"11101100", B"00011001", B"00010011",
1410
 B"00101010", B"00100011", B"00011001", B"00011000", B"00011100",
1411
 B"00011110", B"00011001", B"00100010", B"00101011", B"00100010",
1412
 B"11011110", B"00010111", B"00011100", B"00101101", B"11100000",
1413
 B"00101001", B"11010011", B"00010100", B"00100010", B"00101001",
1414
 B"00100001", B"00100011", B"00100000", B"00101100", B"00100011",
1415
 B"00010101", B"11011101", B"11010110", B"11011010", B"11011101",
1416
 B"00100111", B"00010101", B"00011111", B"00101000", B"11011010",
1417
 B"11100100", B"11011001", B"00011111", B"00011101", B"11110001",
1418
 B"00100011", B"11010101", B"00010111", B"00100010", B"11100001",
1419
 B"11011001", B"00000110", B"00011111", B"11010110", B"11011011",
1420
 B"00100111", B"11011110", B"11010101", B"00100010", B"11101001",
1421
 B"11011111", B"11100010", B"11100011", B"11101000", B"00010010",
1422
 B"00111011", B"00100110", B"11011110", B"00100100", B"11010010",
1423
 B"00100111", B"00100000", B"11100100", B"00011011", B"11011010",
1424
 B"11010110", B"11100101", B"00011011", B"00011010", B"00011111",
1425
 B"11110101", B"00010011", B"00101000", B"11100110", B"00101110",
1426
 B"00110001", B"11100000", B"11100100", B"00100100", B"00010011",
1427
 B"00001111", B"11011110", B"00011011", B"11011000", B"00100001",
1428
 B"00100100", B"00110111", B"00010111", B"11100001", B"00100011",
1429
 B"11100010", B"00010100", B"11010111", B"00100100", B"00011111",
1430
 B"00010010", B"11110011", B"00100100", B"11011001", B"00010011",
1431
 B"11101001", B"11100011", B"00011011", B"00100001", B"11011111",
1432
 B"11100010", B"11001110", B"11010111", B"11010011", B"00011000",
1433
 B"11011001", B"00100001", B"00101111", B"11101001", B"00010100",
1434
 B"00100100", B"11111010", B"11001110", B"00011110", B"00011100",
1435
 B"00110010", B"11001110", B"00100000", B"11100101", B"00100010",
1436
 B"11100110", B"11101001", B"00101110", B"11010010", B"00010011",
1437
 B"11001101", B"11110001", B"00010001", B"11110001", B"11011100",
1438
 B"00100000", B"00100010", B"00011010", B"00110001", B"11010010",
1439
 B"11101101", B"00011110", B"00011100", B"00100101", B"00101000",
1440
 B"00010111", B"00011010", B"00101001", B"00100100", B"11010110",
1441
 B"11100000", B"00011000", B"11001011", B"00110111", B"11001111",
1442
 B"11001110", B"00100101", B"11001111", B"11111101", B"11011001",
1443
 B"11110001", B"00101000", B"00101110", B"00100111", B"00001101",
1444
 B"11001011", B"00010101", B"11111000", B"11001011", B"11010111",
1445
 B"00011101", B"00110001", B"11001000", B"11100111", B"00011101",
1446
 B"11101100", B"11111011", B"11101100", B"00110100", B"00101001",
1447
 B"11101000", B"11100101", B"11100101", B"11101100", B"00010001",
1448
 B"00101000", B"00000000", B"00011111", B"11011110", B"11111000",
1449
 B"11100101", B"11111111", B"00011110", B"00100011", B"00011011",
1450
 B"11011000", B"11100011", B"00011011", B"00001010", B"11011101",
1451
 B"00100101", B"00101011", B"11100000", B"11100010", B"00010110",
1452
 B"00110011", B"11101010", B"11011000", B"11100010", B"11011010",
1453
 B"00010101", B"10111110", B"00010110", B"00010111", B"00101101",
1454
 B"00101011", B"00011010", B"00011100", B"00011001", B"00011100",
1455
 B"00011111", B"00101001", B"00100010", B"00100111", B"00101101",
1456
 B"00101001", B"00101111", B"00010000", B"00101100", B"11011111",
1457
 B"11010110", B"00100000", B"11010111", B"00111001", B"11100001",
1458
 B"11010111", B"00101101", B"11011000", B"11011111", B"00101111",
1459
 B"11100010", B"00011010", B"11010110", B"11100000", B"00010100",
1460
 B"00010000", B"00100001", B"11101101", B"00111010", B"00010000",
1461
 B"11011011", B"11101111", B"00100010", B"00011011", B"00100111",
1462
 B"00001111", B"00100000", B"00011101", B"00011000", B"00100111",
1463
 B"00100011", B"11011000", B"00011011", B"00011011", B"00101100",
1464
 B"11101101", B"00010010", B"11010001", B"00101110", B"00110101",
1465
 B"11100000", B"00110100", B"00101011", B"11011101", B"00011010",
1466
 B"00100101", B"11101101", B"11011010", B"00110001", B"00100011",
1467
 B"11011000", B"11010011", B"11011111", B"11001110", B"11110111",
1468
 B"11101000", B"00010011", B"00011010", B"11011110", B"11101111",
1469
 B"11100010", B"11101011", B"11010000", B"11101100", B"11011011",
1470
 B"11100001", B"11101111", B"00100010", B"00011110", B"00011111",
1471
 B"00100110", B"11100011", B"00010010", B"00011110", B"11011110",
1472
 B"11100001", B"11001011", B"11101000", B"11100101", B"00011111",
1473
 B"00100010", B"00001110", B"11001011", B"00011110", B"11100011",
1474
 B"00011110", B"11011111", B"11011101", B"11100110", B"11011100",
1475
 B"00100111", B"00011111", B"11001111", B"00101010", B"11110010",
1476
 B"11001010", B"11010110", B"11100101", B"00001100", B"00110001",
1477
 B"11011110", B"00011010", B"11010111", B"11011010", B"11001110",
1478
 B"11011000", B"11100010", B"00100101", B"00011001", B"00011101",
1479
 B"00100110", B"00111000", B"11100000", B"11000001", B"11011111",
1480
 B"11100111", B"00101101", B"11100000", B"00011110", B"11001010",
1481
 B"00101110", B"11101100", B"11111001", B"11100001", B"11011000",
1482
 B"00011011", B"00101011", B"00101011", B"00101000", B"00001111",
1483
 B"00101001", B"00100011", B"00100111", B"00001110", B"00100010",
1484
 B"11101100", B"11001111", B"00100001", B"00110000", B"00001001",
1485
 B"00101001", B"11100111", B"11100010", B"00011001", B"11100010",
1486
 B"11100100", B"11100101", B"11100010", B"00100010", B"11100101",
1487
 B"00111110", B"11101100", B"11011101", B"11010000", B"00011011",
1488
 B"00101000", B"11110000", B"00100100", B"11011000", B"11100010",
1489
 B"00011011", B"11010100", B"00010110", B"11011000", B"11101110",
1490
 B"00101001", B"00101001", B"00100000", B"11100000", B"00100011",
1491
 B"00000001", B"11100010", B"00100000", B"00011101", B"11101001",
1492
 B"00101011", B"11010110", B"00010011", B"00101110", B"11001001",
1493
 B"00011011", B"00110000", B"11100001", B"00111000", B"00101101",
1494
 B"11100110", B"00100101", B"11111101", B"11110000", B"11001111",
1495
 B"00010110", B"11100101", B"11010011", B"00101101", B"11111001",
1496
 B"00011010", B"11011110", B"11001111", B"00100001", B"00100010",
1497
 B"00011111", B"00010110", B"00100011", B"00011110", B"00110010",
1498
 B"00010010", B"00011010", B"11010110", B"11001101", B"00010101",
1499
 B"00100010", B"00100111", B"00001011", B"11010110", B"11000110",
1500
 B"00100100", B"00010000", B"11101010", B"11010101", B"00010111",
1501
 B"00101010", B"11111101", B"11100101", B"11011010", B"11100010",
1502
 B"00100011", B"00010010", B"00011001", B"00110011", B"11110000",
1503
 B"11011001", B"00111100", B"00100011", B"11010001", B"11110010",
1504
 B"00100000", B"00100001", B"11011010", B"11100110", B"00010001",
1505
 B"00011011", B"11001101", B"00010100", B"00010010", B"11100011",
1506
 B"11100000", B"00101100", B"11101010", B"00010101", B"00100101",
1507
 B"11101011", B"11011101", B"11100010", B"11011001", B"11111001",
1508
 B"00011000", B"00100011", B"11100101", B"00011100", B"00011101",
1509
 B"11110000", B"11011000", B"00011111", B"11101111", B"00100010",
1510
 B"11110100", B"11100100", B"11100111", B"00101001", B"00100000",
1511
 B"11100001", B"00010101", B"11010011", B"11011100", B"00011110",
1512
 B"11100110", B"11001010", B"11100110", B"11001011", B"11000001",
1513
 B"00011010", B"11011101", B"00011011", B"11100011", B"11100001",
1514
 B"00010101", B"00110000", B"11101100", B"00100011", B"11011101",
1515
 B"11101100", B"11010101", B"00100111", B"00011100", B"11011000",
1516
 B"11100010", B"11011101", B"11101101", B"00010011", B"00011000",
1517
 B"11101000", B"00011010", B"11011110", B"00011100", B"00011001",
1518
 B"00100101", B"11000101", B"00011001", B"11011001", B"00101100",
1519
 B"11101000", B"00101100", B"11101111", B"11000010", B"00101011",
1520
 B"11010111", B"11100100", B"11100001", B"11010110", B"11011110",
1521
 B"00010001", B"00100001", B"11010001", B"11101011", B"11000110",
1522
 B"11011100", B"11011110", B"00011011", B"00010000", B"00100100",
1523
 B"00010111", B"00100011", B"00001101", B"00100001", B"00010110",
1524
 B"11001101", B"11100000", B"11101110", B"11011110", B"00001110",
1525
 B"00010101", B"00100010", B"00010000", B"00101010", B"11011111",
1526
 B"00101101", B"00101101", B"11011111", B"00011010", B"00101110",
1527
 B"11100101", B"00100101", B"11100010", B"00011110", B"00011101",
1528
 B"11101011", B"00110010", B"00100011", B"11101011", B"00011011",
1529
 B"00010110", B"11100101", B"11101110", B"00001111", B"00100111",
1530
 B"11011001", B"11100000", B"11010111", B"11010000", B"00011010",
1531
 B"11101101", B"00100101", B"11100000", B"11100010", B"00001100",
1532
 B"00011010", B"11011011", B"11100001", B"00010011", B"00000100",
1533
 B"11101100", B"11101001", B"11011011", B"11011001", B"11000000",
1534
 B"00101000", B"11010100", B"00101010", B"11101100", B"11100101",
1535
 B"00011101", B"00010110", B"11100001", B"00100001", B"11101111",
1536
 B"11101111", B"11101001", B"00100010", B"00101001", B"00011111",
1537
 B"00001000", B"00110000", B"11011010", B"00100011", B"11011010",
1538
 B"00110100", B"11111011", B"11100010", B"11100100", B"00011011",
1539
 B"00000110", B"00100010", B"00010100", B"11011100", B"11011011",
1540
 B"11100011", B"11101100", B"00010111", B"11100101", B"00010110",
1541
 B"11011011", B"11110100", B"01000111", B"00011111", B"11100011",
1542
 B"00100010", B"00110011", B"11100000", B"00010111", B"00101000",
1543
 B"11100111", B"00011001", B"00011011", B"00010010", B"11010111",
1544
 B"00101010", B"11100101", B"00100100", B"11001110", B"11010011",
1545
 B"11011100", B"11100000", B"00011111", B"00011111", B"11100111",
1546
 B"00011010", B"11101010", B"00010101", B"00010111", B"11011110",
1547
 B"11100110", B"00011001", B"00100001", B"11010111", B"11010011",
1548
 B"11101000", B"11010110", B"00101000", B"11100000", B"00011010",
1549
 B"11100001", B"11001000", B"00101001", B"11100100", B"11011000",
1550
 B"01000001", B"11001101", B"00101001", B"11010110", B"11100011",
1551
 B"00010101", B"00001101", B"00011100", B"00100011", B"00101111",
1552
 B"00100011", B"00011000", B"00010111", B"00110100", B"11011011",
1553
 B"11100110", B"11001011", B"00011010", B"00100111", B"11011010",
1554
 B"00010011", B"11000100", B"00100100", B"00101011", B"11010001",
1555
 B"00010101", B"00010110", B"11000000", B"11011111", B"00010110",
1556
 B"11100111", B"00100000", B"00000111", B"11010110", B"11100100",
1557
 B"11010100", B"11001100", B"11100100", B"00100000", B"11101000",
1558
 B"11101110", B"00011110", B"11100010", B"11100010", B"11100101",
1559
 B"11100011", B"11011011", B"00011110", B"00100010", B"00010100",
1560
 B"11101001", B"00011000", B"11011110", B"00100011", B"00011011",
1561
 B"00011010", B"00000110", B"00011000", B"00100100", B"00011101",
1562
 B"00100001", B"00001111", B"11101011", B"00011011", B"00010111",
1563
 B"00100111", B"11101011", B"00010001", B"11101011", B"00011101",
1564
 B"11001100", B"01000100", B"11011000", B"00101101", B"11101011",
1565
 B"11011110", B"00011010", B"00111000", B"11011010", B"00100011",
1566
 B"11100110", B"11011010", B"11011110", B"00110110", B"00011110",
1567
 B"11011000", B"11010110", B"00111111", B"00011101", B"00100111",
1568
 B"11010100", B"00110100", B"11011000", B"00011110", B"00011001",
1569
 B"11101000", B"11100100", B"00011100", B"11100011", B"11011101",
1570
 B"11100001", B"11001101", B"00011111", B"00100100", B"11101010",
1571
 B"00100110", B"00100000", B"11101100", B"11011101", B"00010101",
1572
 B"11010010", B"00110010", B"11100010", B"00001010", B"11011100",
1573
 B"11011101", B"00100001", B"00010101", B"11011010", B"11100001",
1574
 B"11110011", B"11011011", B"00110001", B"00100010", B"00100010",
1575
 B"00101010", B"11010000", B"00011111", B"00100100", B"00100000",
1576
 B"11010111", B"00101010", B"11100011", B"00010110", B"00011011",
1577
 B"00110011", B"11100101", B"11001100", B"00100111", B"00100101",
1578
 B"11100101", B"11011011", B"11101000", B"00101000", B"11011010",
1579
 B"00100011", B"11101010", B"11101111", B"00110001", B"00010010",
1580
 B"00010110", B"00010000", B"00101001", B"00100010", B"00110000",
1581
 B"00110101", B"00101100", B"00011000", B"00100111", B"00101001",
1582
 B"11011111", B"00100011", B"00101010", B"11101110", B"11010110",
1583
 B"00100110", B"11101001", B"00011100", B"11101000", B"11101000",
1584
 B"11100111", B"00100101", B"00110010", B"11011001", B"11010100",
1585
 B"11100110", B"11011100", B"00110101", B"00001110", B"11011011",
1586
 B"00010110", B"11011011", B"11011011", B"00011111", B"00100001",
1587
 B"00010101", B"11011001", B"00010010", B"11001110", B"00110001",
1588
 B"00011101", B"00001110", B"11010010", B"11010011", B"00101111",
1589
 B"00100110", B"11100000", B"11001011", B"00100101", B"11111011",
1590
 B"00011011", B"00101101", B"11101000", B"00101010", B"00100001",
1591
 B"11110010", B"00011101", B"11100000", B"00100111", B"11011101",
1592
 B"11100100", B"11010111", B"00100000", B"00100100", B"11000111",
1593
 B"00100100", B"00011000", B"11100011", B"11100001", B"11011100",
1594
 B"11111001", B"11010110", B"00100100", B"11010101", B"00011110",
1595
 B"00100101", B"11100010", B"00101011", B"00001111", B"11101001",
1596
 B"00010000", B"11001000", B"11011010", B"11100101", B"11010111",
1597
 B"00100110", B"11010001", B"00110010", B"11101000", B"11011101",
1598
 B"11010000", B"00000101", B"00100110", B"11010110", B"00001010",
1599
 B"11001110", B"00011111", B"11010101", B"11011011", B"11010100",
1600
 B"11011100", B"00100000", B"11001000", B"00010001", B"00010101",
1601
 B"00100000", B"11011010", B"11101010", B"00010100", B"00010101",
1602
 B"11100010", B"11011001", B"00010101", B"11101111", B"11100111",
1603
 B"11100111", B"11011000", B"00010100", B"11101011", B"00101111",
1604
 B"00010110", B"11101000", B"00011110", B"00011111", B"11110001",
1605
 B"00011011", B"00101001", B"11011111", B"11011010", B"11111000",
1606
 B"00100111", B"00101111", B"00011000", B"00001100", B"11100111",
1607
 B"11100101", B"11011010", B"00100000", B"11100011", B"00100011",
1608
 B"11010010", B"11110011", B"00011100", B"00011110", B"00001001",
1609
 B"11010101", B"11100110", B"00101110", B"11010001", B"11001011",
1610
 B"11011111", B"11100001", B"11011010", B"00101111", B"11101010",
1611
 B"11110110", B"11100110", B"00011110", B"00011101", B"11010010",
1612
 B"11010010", B"11100001", B"11011000", B"11101000", B"00101100",
1613
 B"00100101", B"00011010", B"00100111", B"11100100", B"11110000",
1614
 B"11100110", B"00011010", B"00011011", B"11100000", B"00011011",
1615
 B"11011010", B"11100110", B"00101010", B"11010010", B"11011100",
1616
 B"11100101", B"00100111", B"00100110", B"11011101", B"00001111",
1617
 B"11010010", B"11101111", B"11011001", B"11101001", B"00010101",
1618
 B"11100101", B"00010001", B"11110010", B"11101011", B"11110101",
1619
 B"00100101", B"00100010", B"11100001", B"00011001", B"11011011",
1620
 B"00100011", B"00100011", B"11011100", B"11100011", B"00011010",
1621
 B"00100111", B"11100010", B"11110000", B"00100111", B"00011001",
1622
 B"11100001", B"11100011", B"00010011", B"00101110", B"11101000",
1623
 B"11101011", B"00011001", B"00010101", B"11010101", B"11011110",
1624
 B"00100100", B"00010110", B"11011001", B"11110000", B"00100001",
1625
 B"00100101", B"00010111", B"11011110", B"00100111", B"11011110",
1626
 B"00101100", B"11010101", B"00110110", B"11110010", B"11100000",
1627
 B"00011101", B"11101000", B"11010111", B"11101011", B"11100010",
1628
 B"11011000", B"11110100", B"11000101", B"00011110", B"00100110",
1629
 B"11001110", B"00100011", B"11101100", B"11100011", B"00010010",
1630
 B"00101010", B"00110000", B"11001110", B"00001011", B"11010111",
1631
 B"00011111", B"00100101", B"00010111", B"00010101", B"00101001",
1632
 B"00011101", B"00100000", B"01000001", B"00011001", B"11010111",
1633
 B"11010110", B"00101000", B"00010011", B"00011010", B"00011110",
1634
 B"11101000", B"11011001", B"00010101", B"00101000", B"00011110",
1635
 B"11100001", B"00011101", B"11101000", B"00011101", B"11011000",
1636
 B"00100101", B"11011101", B"00011110", B"00100110", B"11010000",
1637
 B"00010011", B"00100000", B"11010011", B"00010000", B"00011110",
1638
 B"00010001", B"11100010", B"00100010", B"11010111", B"00010100",
1639
 B"11011100", B"00010110", B"00101011", B"11010011", B"00011110",
1640
 B"00011100", B"11001100", B"11011101", B"00010101", B"11011011",
1641
 B"00101100", B"00101011", B"11101000", B"11110000", B"11101010",
1642
 B"11011111", B"11100101", B"00011110", B"00011010", B"11011011",
1643
 B"11101111", B"00101101", B"00010011", B"11101011", B"11011101",
1644
 B"00011010", B"11011100", B"00110101", B"00100101", B"11100001",
1645
 B"00010011", B"00011111", B"11011011", B"00010011", B"00110010",
1646
 B"11011010", B"11101100", B"00001101", B"00011100", B"11100000",
1647
 B"11100000", B"00010101", B"00001101", B"11010001", B"11011000",
1648
 B"00001110", B"00100010", B"11010000", B"11101001", B"00100111",
1649
 B"11101100", B"11100001", B"11011100", B"11010101", B"00100110",
1650
 B"11100100", B"00111110", B"11011110", B"00011011", B"00101110",
1651
 B"11101010", B"00000101", B"11010100", B"11100111", B"11011110",
1652
 B"11100101", B"11110000", B"11011011", B"11011100", B"00011010",
1653
 B"00101000", B"00010110", B"00101010", B"11110000", B"11011101",
1654
 B"00100110", B"11010111", B"00010010", B"11010111", B"11100111",
1655
 B"00101111", B"00110100", B"00101100", B"00010010", B"00101000",
1656
 B"00011110", B"00001100", B"00100001", B"00100110", B"00011111",
1657
 B"00011011", B"00011111", B"00010010", B"00100101", B"00011110",
1658
 B"00001001", B"00100000", B"11100111", B"00011111", B"11101011",
1659
 B"00010010", B"11010000", B"11100110", B"00000011", B"00100101",
1660
 B"00101010", B"00010100", B"00100011", B"00011011", B"00011000",
1661
 B"00010110", B"00011100", B"00101110", B"00011011", B"11101111",
1662
 B"11011011", B"00110001", B"11101001", B"11100111", B"11010111",
1663
 B"11010110", B"00001010", B"11101100", B"11011110", B"00111100",
1664
 B"11011001", B"11100011", B"11100100", B"11100011", B"00011111",
1665
 B"00110101", B"00100101", B"11011111", B"00011101", B"11011011",
1666
 B"00011101", B"11010100", B"11010101", B"11110010", B"00101010",
1667
 B"00100101", B"00010111", B"00011001", B"11011101", B"11100101",
1668
 B"11011000", B"11011011", B"11100000", B"11011110", B"00010000",
1669
 B"00011110", B"00010110", B"00100111", B"11101010", B"11101110",
1670
 B"00010110", B"00101010", B"00011010", B"00011001", B"11100011",
1671
 B"11100110", B"00101100", B"00011001", B"00011001", B"00011110",
1672
 B"00011011", B"00100100", B"00100011", B"00010001", B"11001110",
1673
 B"00010100", B"00100010", B"11100000", B"11101011", B"11000111",
1674
 B"11100001", B"11100101", B"11011101", B"11100011", B"00100001",
1675
 B"11100101", B"00101001", B"11001001", B"11010100", B"00100010",
1676
 B"00100010", B"00010111", B"00110000", B"11110000", B"00011011",
1677
 B"11011101", B"00100000", B"11100001", B"11010010", B"00100010",
1678
 B"00110101", B"11011010", B"11110100", B"11100110", B"11100100",
1679
 B"11100100", B"00010110", B"11011100", B"00100110", B"11000101",
1680
 B"11100010", B"11100000", B"00100001", B"00010110", B"00100100",
1681
 B"11011011", B"00110011", B"11100000", B"11011101", B"11100101",
1682
 B"00011111", B"00101000", B"00011001", B"11100101", B"00011001",
1683
 B"11101011", B"11011111", B"11011101", B"00100001", B"00011111",
1684
 B"11011110", B"00011111", B"00100011", B"00011000", B"11011010",
1685
 B"00101001", B"11101000", B"00100110", B"00101001", B"11011101",
1686
 B"00011001", B"11011101", B"11011011", B"11101000", B"00000011",
1687
 B"00100000", B"00100011", B"00011011", B"00101011", B"11011010",
1688
 B"00011110", B"11001001", B"00100101", B"11011111", B"11010100",
1689
 B"11101011", B"00100111", B"00110101", B"00010110", B"00101111",
1690
 B"11110101", B"11100000", B"11011111", B"11010011", B"11101101",
1691
 B"11011111", B"00110100", B"00010101", B"00010100", B"00010100",
1692
 B"11001001", B"11001100", B"11101111", B"11100111", B"00011001",
1693
 B"00110000", B"00100010", B"00100011", B"11011000", B"00100011",
1694
 B"00010001", B"00011010", B"11010111", B"00100011", B"11110001",
1695
 B"00101000", B"11111000", B"11011111", B"11101101", B"00100011",
1696
 B"00101100", B"11011101", B"00011101", B"11001001", B"11011101",
1697
 B"00101011", B"00011010", B"00100000", B"11101101", B"00010110",
1698
 B"11101011", B"11111100", B"00100001", B"11100000", B"00011100",
1699
 B"11100011", B"11011101", B"11011001", B"00010010", B"00001100",
1700
 B"00101001", B"00100100", B"11011100", B"11010001", B"00010001",
1701
 B"00010011", B"11100010", B"11010100", B"11011100", B"11011111",
1702
 B"11100010", B"11100111", B"00011000", B"00100110", B"00011010",
1703
 B"00010100", B"00010011", B"11011001", B"11011110", B"00011100",
1704
 B"11101000", B"11001100", B"11011000", B"11100110", B"11011110",
1705
 B"00100000", B"00100111", B"11101111", B"11011111", B"11011111",
1706
 B"11100000", B"11010010", B"11010101", B"00000110", B"11101111",
1707
 B"00100110", B"11011100", B"11100100", B"00110011", B"00010011",
1708
 B"00010000", B"00011101", B"11011000", B"11010110", B"00100010",
1709
 B"00101100", B"11101101", B"11011101", B"11011100", B"11011100",
1710
 B"00000101", B"11011110", B"00011101", B"11001101", B"11010110",
1711
 B"00010110", B"11111000", B"00100000", B"11011001", B"11010001",
1712
 B"11010011", B"00010110", B"00010110", B"11101001", B"00011010",
1713
 B"00100010", B"11100010", B"00010001", B"00101110", B"11101111",
1714
 B"11110001", B"00100011", B"11001001", B"11110111", B"00100101",
1715
 B"00011101", B"00011001", B"00101010", B"11101100", B"11011100",
1716
 B"00100011", B"00011111", B"00011011", B"00110000", B"00100101",
1717
 B"00100001", B"00011110", B"00100001", B"00100111", B"11010000",
1718
 B"00100001", B"11100000", B"11100101", B"11010101", B"00010111",
1719
 B"00010101", B"00011100", B"00011011", B"00100010", B"00100001",
1720
 B"00100011", B"00011111", B"00101101", B"00100100", B"00011111",
1721
 B"00100011", B"11101001", B"11101000", B"00110111", B"00011110",
1722
 B"11101001", B"11011111", B"11111101", B"11010110", B"11011110",
1723
 B"00001000", B"00101100", B"11001111", B"00011001", B"11011111",
1724
 B"11101101", B"00101000", B"00010011", B"11100000", B"11011000",
1725
 B"11110000", B"11011111", B"11001001", B"00010110", B"11100010",
1726
 B"11011011", B"11011101", B"11101111", B"00100000", B"11100001",
1727
 B"00010100", B"00110110", B"11110000", B"11001010", B"00010010",
1728
 B"11100100", B"11101100", B"11011010", B"11101011", B"00101001",
1729
 B"11100010", B"11110011", B"00100010", B"11100001", B"11011011",
1730
 B"11010101", B"11101110", B"00100100", B"00110010", B"11100100",
1731
 B"11100001", B"00011101", B"00101100", B"11110001", B"11101001",
1732
 B"11010010", B"00010100", B"11001111", B"00101001", B"11101100",
1733
 B"11100010", B"00011011", B"00010000", B"00100101", B"00010111",
1734
 B"00011010", B"00010001", B"00011110", B"00100001", B"00011101",
1735
 B"00010101", B"11011100", B"00100111", B"00100010", B"00000100",
1736
 B"11010000", B"00011010", B"11010111", B"00101011", B"00010010",
1737
 B"11100000", B"11101100", B"11110111", B"11101010", B"00100110",
1738
 B"11011101", B"00100111", B"00010001", B"11101011", B"11011110",
1739
 B"11100101", B"11011111", B"00100100", B"11100110", B"00100100",
1740
 B"11010110", B"11011100", B"11111000", B"11100110", B"00100011",
1741
 B"11001001", B"11000010", B"00011101", B"11110000", B"00011000",
1742
 B"00101000", B"11000110", B"11110001", B"11100010", B"11010011",
1743
 B"11101011", B"00010010", B"00010101", B"00100010", B"11101011",
1744
 B"00011100", B"11101010", B"00100010", B"11010111", B"11011110",
1745
 B"00110000", B"11100001", B"11101100", B"11011010", B"00010111",
1746
 B"00101111", B"11000010", B"11011100", B"11001101", B"00110011",
1747
 B"00011010", B"00100100", B"00011010", B"11010100", B"11011101",
1748
 B"11100111", B"11001101", B"00100010", B"11001101", B"00101010",
1749
 B"11010101", B"11110100", B"00011010", B"00010101", B"11011001",
1750
 B"11011101", B"00101001", B"11110011", B"11011001", B"11000111",
1751
 B"11100100", B"11101000", B"11100100", B"00011100", B"11011100",
1752
 B"00110011", B"11010000", B"11011011", B"00100001", B"00100100",
1753
 B"00010100", B"11010100", B"00011111", B"00100010", B"11100110",
1754
 B"11100101", B"00011101", B"11010111", B"00011100", B"11100000",
1755
 B"00100001", B"11100001", B"11011000", B"00010111", B"00001111",
1756
 B"00011010", B"00110001", B"00100011", B"11101011", B"00100010",
1757
 B"11100110", B"00010110", B"11011100", B"00011100", B"00001100",
1758
 B"00010000", B"11100010", B"00101000", B"11100100", B"00010101",
1759
 B"11100011", B"00001011", B"11011010", B"11101010", B"11100000",
1760
 B"11100011", B"00011011", B"11011110", B"00100100", B"00010001",
1761
 B"00110010", B"00110101", B"11100011", B"00011101", B"11101010",
1762
 B"00011100", B"11101101", B"11010001", B"00010101", B"11100101",
1763
 B"11010110", B"11100100", B"00001010", B"00011001", B"11011100",
1764
 B"11010001", B"11100110", B"00100001", B"00110101", B"00100101",
1765
 B"00011101", B"11011110", B"11100001", B"11011010", B"11100110",
1766
 B"00011111", B"11010110", B"00100011", B"11110011", B"11011111",
1767
 B"00110001", B"00011111", B"00011011", B"11011010", B"00011110",
1768
 B"00011110", B"11010110", B"11011100", B"00110100", B"00101110",
1769
 B"00011010", B"00001101", B"11100010", B"00101011", B"11011100",
1770
 B"00100010", B"11011111", B"00010111", B"11100100", B"11010111",
1771
 B"00010001", B"11011111", B"11101010", B"11011110", B"11100101",
1772
 B"11101000", B"11100111", B"00101100", B"11110100", B"00100010",
1773
 B"11100111", B"11101011", B"00010101", B"11100011", B"11101110",
1774
 B"11011001", B"11110100", B"00100000", B"00011100", B"00010101",
1775
 B"00100111", B"00100100", B"00001110", B"00011001", B"11101110",
1776
 B"00011011", B"11010110", B"00010111", B"11101110", B"00100000",
1777
 B"00100111", B"11010001", B"11010110", B"00100001", B"00100100",
1778
 B"11010100", B"11100110", B"00001110", B"00011011", B"00110010",
1779
 B"11110000", B"00101100", B"11101010", B"00100000", B"11011001",
1780
 B"11110000", B"00101001", B"11110001", B"00101000", B"11011100",
1781
 B"11011000", B"00100001", B"00100010", B"00111101", B"11100110",
1782
 B"00100000", B"00010011", B"11011000", B"00011011", B"00101000",
1783
 B"11110100", B"00011011", B"00101011", B"00101011", B"11011010",
1784
 B"00001011", B"11110100", B"00100000", B"11110000", B"11101101",
1785
 B"11010100", B"11011101", B"11100101", B"00000010", B"00010001",
1786
 B"00010110", B"00101001", B"00110001", B"00010011", B"11100111",
1787
 B"11101001", B"00011011", B"00100001", B"11101010", B"11010000",
1788
 B"11011000", B"00100101", B"11011010", B"00101001", B"11010100",
1789
 B"11101010", B"00011111", B"00100101", B"11010111", B"00100100",
1790
 B"11100000", B"11101000", B"11100010", B"00010110", B"00101011",
1791
 B"11011101", B"11010100", B"00110000", B"00010010", B"00011001",
1792
 B"11100100", B"00101101", B"11101110", B"00011011", B"11000101",
1793
 B"11100011", B"00100000", B"11100010", B"00011110", B"11010111",
1794
 B"11100010", B"00101011", B"00011011", B"00001100", B"11101011",
1795
 B"11110100", B"00101101", B"00100100", B"11100101", B"11100011",
1796
 B"00110100", B"00011000", B"11011111", B"00101000", B"00011011",
1797
 B"11100010", B"11011001", B"00101001", B"00011111", B"00100000",
1798
 B"00101010", B"11100010", B"00100000", B"11010001", B"00010110",
1799
 B"11100101", B"11000111", B"11011001", B"11011000", B"00011000",
1800
 B"00011101", B"11010101", B"00101110", B"11011100", B"00100010",
1801
 B"11010111", B"00011111", B"11011111", B"11011000", B"11110010",
1802
 B"00011111", B"00100100", B"11100100", B"11001011", B"11101101",
1803
 B"11010110", B"00011110", B"00011101", B"00011011", B"00101100",
1804
 B"00001110", B"11100100", B"00011100", B"11110101", B"11011101",
1805
 B"11110111", B"00100101", B"00100010", B"11100100", B"00011000",
1806
 B"00100010", B"00101010", B"11011101", B"00101111", B"11100100",
1807
 B"00100101", B"11011010", B"00100100", B"00101001", B"11101010",
1808
 B"11111011", B"11101000", B"11011000", B"11011011", B"00010101",
1809
 B"11100001", B"00100010", B"11100100", B"11100011", B"11011010",
1810
 B"00100100", B"00010001", B"11100111", B"00011011", B"11100000",
1811
 B"11011010", B"11010011", B"00010101", B"00101100", B"11101000",
1812
 B"00101100", B"11010000", B"11011100", B"11100001", B"11100111",
1813
 B"00100101", B"11010101", B"00100010", B"11011000", B"11101100",
1814
 B"11011110", B"11011110", B"00011011", B"00110101", B"00010101",
1815
 B"00101000", B"00010100", B"00101110", B"00010101", B"11101000",
1816
 B"00100011", B"11011101", B"00001110", B"11010111", B"00111111",
1817
 B"11101000", B"00100000", B"00010100", B"11100111", B"00101000",
1818
 B"00100001", B"11110011", B"11100000", B"11100100", B"11100001",
1819
 B"11001010", B"00110000", B"00011111", B"00100111", B"00101010",
1820
 B"11101000", B"00101110", B"00100100", B"00010101", B"11101000",
1821
 B"00010000", B"11011110", B"00011100", B"11101100", B"00011110",
1822
 B"00011101", B"00001100", B"11100100", B"00011100", B"11101000",
1823
 B"00010110", B"00010111", B"11011111", B"11011000", B"11010000",
1824
 B"11011100", B"00100010", B"11011000", B"00100111", B"00110011",
1825
 B"11010100", B"00001011", B"11011001", B"11010011", B"11010111",
1826
 B"00010110", B"00100110", B"00101010", B"00001010", B"11101011",
1827
 B"11011110", B"00101001", B"00010100", B"11101111", B"11100110",
1828
 B"00111001", B"00010110", B"00100111", B"11000001", B"00011101",
1829
 B"11011110", B"00100100", B"11010100", B"00010100", B"00010000",
1830
 B"00011100", B"00111111", B"00100110", B"00011100", B"01000010",
1831
 B"00011000", B"00010001", B"11100101", B"00101101", B"11001111",
1832
 B"11101011", B"11010111", B"00000110", B"00011100", B"11101010",
1833
 B"11011111", B"00100111", B"11010010", B"00011100", B"11100011",
1834
 B"11011010", B"00011111", B"11100011", B"11011111", B"11011100",
1835
 B"00010010", B"00001111", B"11110001", B"00101110", B"11010001",
1836
 B"00101111", B"00011101", B"00100111", B"11100011", B"00010000",
1837
 B"11100010", B"00001011", B"11010100", B"00011100", B"11011010",
1838
 B"11101011", B"00011000", B"11100010", B"11101111", B"11010101",
1839
 B"11010110", B"11010111", B"00010000", B"11010100", B"11001010",
1840
 B"11001101", B"00010100", B"00010000", B"11101100", B"00011111",
1841
 B"11011011", B"11100000", B"00101110", B"11100000", B"11100101",
1842
 B"11010110", B"11010101", B"00101000", B"11111100", B"00010010",
1843
 B"00011111", B"00100001", B"00101011", B"00100011", B"00011111",
1844
 B"11011100", B"11100010", B"00001110", B"00101111", B"00100010",
1845
 B"00011011", B"11101111", B"11111010", B"00010100", B"00011110",
1846
 B"00011010", B"11001101", B"00100110", B"11001100", B"00100101",
1847
 B"11010001", B"11101011", B"11011011", B"00011000", B"00011110",
1848
 B"00001101", B"00101101", B"11011101", B"11011010", B"11010100",
1849
 B"11110111", B"00011000", B"11101011", B"00101111", B"11100001",
1850
 B"11001010", B"00001101", B"11100011", B"11100000", B"11100000",
1851
 B"11110000", B"00110010", B"00010101", B"00011100", B"00110000",
1852
 B"00101000", B"11100111", B"11100001", B"11010010", B"11011100",
1853
 B"00100001", B"11011100", B"00110000", B"00100101", B"00100110",
1854
 B"00011000", B"11011011", B"00011010", B"11011111", B"00011111",
1855
 B"11100001", B"00101000", B"11100011", B"00100001", B"00011010",
1856
 B"11011100", B"00100100", B"00001011", B"11011010", B"00101100",
1857
 B"11101001", B"00110110", B"00101100", B"11100010", B"00110110",
1858
 B"00100010", B"11010000", B"11101000", B"11011101", B"00100100",
1859
 B"00001110", B"00010110", B"00010101", B"11101011", B"11100101",
1860
 B"11100111", B"11100111", B"00011011", B"00100011", B"00100000",
1861
 B"00100001", B"11010101", B"11011111", B"11110001", B"11100000",
1862
 B"00111000", B"11011010", B"00100100", B"11100011", B"11100000",
1863
 B"00011001", B"11101101", B"00101011", B"11001110", B"00100100",
1864
 B"11101001", B"11110100", B"00100010", B"00100111", B"11100001",
1865
 B"00100111", B"11101110", B"11011000", B"11101000", B"00101110",
1866
 B"00011111", B"11101011", B"11101011", B"00010110", B"11101111",
1867
 B"00011111", B"11110010", B"11010011", B"00101100", B"00001100",
1868
 B"11011010", B"00010101", B"11011010", B"11011101", B"11101001",
1869
 B"00011010", B"00111100", B"11110000", B"11001101", B"11001011",
1870
 B"00100110", B"11100010", B"00001110", B"11011001", B"11101011",
1871
 B"00011111", B"00000001", B"11101100", B"00001000", B"00011000",
1872
 B"11101100", B"00011101", B"00010111", B"11110101", B"00001110",
1873
 B"11100101", B"00010001", B"00101110", B"11101001", B"00101000",
1874
 B"00101001", B"11100111", B"00010100", B"00101101", B"11101100",
1875
 B"11001110", B"00010000", B"00001111", B"11110001", B"11100000",
1876
 B"11011000", B"11000111", B"11110100", B"11100110", B"00011010",
1877
 B"00101001", B"00100100", B"00010011", B"11011110", B"00011110",
1878
 B"11100001", B"00010011", B"11011001", B"11001001", B"00100111",
1879
 B"00100010", B"00100011", B"11100000", B"11101100", B"00100100",
1880
 B"11100111", B"11100000", B"11100011", B"11101001", B"11110001",
1881
 B"00000101", B"00011001", B"11100110", B"11011011", B"11100011",
1882
 B"11010110", B"11011100", B"11110110", B"10110000", B"11011000",
1883
 B"11011100", B"00011010", B"00101111", B"00101100", B"00011110",
1884
 B"00101101", B"11011011", B"11100111", B"00011110", B"11011011",
1885
 B"11010010", B"11101001", B"11011011", B"00011000", B"11010101",
1886
 B"11100111", B"00010001", B"11011000", B"11100011", B"11100110",
1887
 B"11011101", B"00011110", B"00011010", B"00101111", B"00100010",
1888
 B"00010100", B"00011011", B"00100100", B"00010010", B"11110011",
1889
 B"00011001", B"11100001", B"00101001", B"11110101", B"11010101",
1890
 B"00011001", B"00011111", B"00101100", B"11010110", B"11011111",
1891
 B"11011100", B"11100010", B"00100101", B"11011101", B"00101000",
1892
 B"00001110", B"11000010", B"11101011", B"11000110", B"11110001",
1893
 B"00011101", B"11011010", B"00100111", B"00011001", B"00100001",
1894
 B"11010010", B"11010110", B"00011011", B"00011111", B"11101000",
1895
 B"11101100", B"00011001", B"11011011", B"00011010", B"11001000",
1896
 B"11101000", B"11011011", B"00100100", B"00011000", B"11100110",
1897
 B"00100101", B"00011111", B"11011100", B"11100100", B"11001111",
1898
 B"11010100", B"11101100", B"00110010", B"00100100", B"00100010",
1899
 B"00100101", B"00000001", B"01000001", B"00011111", B"00100000",
1900
 B"00100000", B"11100000", B"11100011", B"11010010", B"11001110",
1901
 B"00011111", B"11001100", B"00101010", B"00100110", B"11001110",
1902
 B"11001111", B"00110110", B"11110010", B"11100010", B"11110011",
1903
 B"11011111", B"11101011", B"11100110", B"00100000", B"00010001",
1904
 B"00100001", B"00100100", B"11100111", B"11110110", B"00100001",
1905
 B"00101011", B"00110111", B"11101111", B"00101101", B"11011100",
1906
 B"00010011", B"11010011", B"11100011", B"11010001", B"00101001",
1907
 B"00011111", B"00011110", B"00101001", B"11100111", B"11000101",
1908
 B"11111000", B"00010111", B"11110010", B"00001000", B"11100010",
1909
 B"11000100", B"00110111", B"00110000", B"00101110", B"11110010",
1910
 B"00100100", B"11100110", B"11100010", B"11011001", B"00010111",
1911
 B"00101100", B"00100010", B"00101101", B"00100001", B"00010100",
1912
 B"00011011", B"00100010", B"00011001", B"00100001", B"00011000",
1913
 B"00110110", B"11111000", B"00110101", B"00010111", B"11100010",
1914
 B"11100001", B"00011001", B"11011100", B"11010010", B"11011000",
1915
 B"11010111", B"00010100", B"00110011", B"00100100", B"00110011",
1916
 B"00111001", B"00011011", B"11100000", B"11101111", B"00011101",
1917
 B"00011010", B"11100001", B"11101001", B"11011110", B"00100101",
1918
 B"00010111", B"11011011", B"11100001", B"11101000", B"11001111",
1919
 B"11010111", B"11011110", B"00110011", B"00111011", B"00100100",
1920
 B"11000110", B"00100010", B"11011011", B"00101011", B"00101010",
1921
 B"11001010", B"00010011", B"11100111", B"11011010", B"11100111",
1922
 B"00100010", B"00100011", B"00011001", B"11101101", B"11101000",
1923
 B"00001001", B"11011000", B"11110100", B"11010001", B"11010111",
1924
 B"11100100", B"00010101", B"00110001", B"11010111", B"11011001",
1925
 B"11101010", B"11010101", B"11100111", B"11010111", B"11110000",
1926
 B"11011010", B"00011000", B"00010100", B"11100101", B"00101101",
1927
 B"11011001", B"00100000", B"11110000", B"11101010", B"11100101",
1928
 B"11100000", B"00011010", B"11100100", B"00111101", B"11101010",
1929
 B"00011001", B"11011010", B"11010110", B"11111111", B"00010011",
1930
 B"00100000", B"11011101", B"11011010", B"00101101", B"00010100",
1931
 B"00011110", B"11011110", B"00101110", B"11010110", B"00011001",
1932
 B"00010110", B"00001010", B"00010001", B"11011110", B"00010000",
1933
 B"11010101", B"00011101", B"11101010", B"11001110", B"00011111",
1934
 B"00101000", B"11100010", B"11100111", B"11011001", B"11001011",
1935
 B"11100111", B"11101100", B"11100010", B"11011110", B"00101110",
1936
 B"00100101", B"11101011", B"00101010", B"11101100", B"11100001",
1937
 B"00100010", B"11011110", B"11100011", B"11011101", B"00011001",
1938
 B"00100001", B"11111000", B"00101010", B"11010010", B"00100111",
1939
 B"11011111", B"11101100", B"11011111", B"00110001", B"00101000",
1940
 B"00101001", B"00110010", B"00100111", B"11011110", B"00100101",
1941
 B"11100010", B"00011100", B"11011010", B"11110111", B"11011011",
1942
 B"11100010", B"11110100", B"00101110", B"00100001", B"00010010",
1943
 B"00101101", B"11010110", B"11011111", B"11100011", B"11010110",
1944
 B"00101010", B"00100011", B"00100100", B"00101011", B"00011101",
1945
 B"00101110", B"11011101", B"00011111", B"00010100", B"11010100",
1946
 B"11110010", B"00101100", B"11011101", B"11011111", B"00100000",
1947
 B"11001110", B"00100001", B"11011000", B"11110010", B"00010000",
1948
 B"00101010", B"00000110", B"11010000", B"00011010", B"00011101",
1949
 B"11110011", B"11011000", B"00101000", B"00010111", B"00010001",
1950
 B"11110001", B"00011011", B"00111101", B"11101001", B"11101010",
1951
 B"00011100", B"00000100", B"00101010", B"00011001", B"11011011",
1952
 B"00011011", B"11101100", B"00101110", B"11101110", B"00001100",
1953
 B"11011101", B"00100111", B"00000011", B"11011011", B"00010100",
1954
 B"00011000", B"11100111", B"00011100", B"11011011", B"00100000",
1955
 B"00010001", B"11010110", B"00110101", B"00100101", B"11100111",
1956
 B"11011001", B"11100110", B"00100010", B"11101000", B"00101001",
1957
 B"11100000", B"11011010", B"00101100", B"11100110", B"00010110",
1958
 B"00100010", B"11011101", B"11011001", B"11001110", B"11110010",
1959
 B"11100101", B"11011010", B"00101011", B"00111000", B"11001101",
1960
 B"11100001", B"11010011", B"11100010", B"11101100", B"11101100",
1961
 B"11111100", B"00010111", B"00100100", B"00110101", B"00011111",
1962
 B"11011011", B"11010111", B"11101101", B"00100010", B"00101000",
1963
 B"00010110", B"11100001", B"00011000", B"11011110", B"00011110",
1964
 B"11100010", B"00010101", B"00100011", B"11010001", B"11000111",
1965
 B"11001111", B"11111000", B"11010111", B"00100000", B"00001010",
1966
 B"11100100", B"00101000", B"00100001", B"11001111", B"11011110",
1967
 B"00011101", B"00011111", B"11011101", B"11101011", B"11101011",
1968
 B"11000110", B"00100001", B"11101010", B"00101111", B"11100101",
1969
 B"00101010", B"11010101", B"00010010", B"11001001", B"11010011",
1970
 B"00011110", B"00101010", B"00011110", B"00010101", B"11011100",
1971
 B"11100010", B"00100101", B"00100111", B"11101101", B"11010000",
1972
 B"00011111", B"00011100", B"11001001", B"00010100", B"00011111",
1973
 B"11011111", B"11110110", B"00011111", B"11100110", B"00010110",
1974
 B"11110011", B"11100010", B"11011001", B"00100011", B"00100011",
1975
 B"11100011", B"00101110", B"00010110", B"11011100", B"11010000",
1976
 B"00011100", B"00011011", B"11101010", B"11110100", B"00001000",
1977
 B"11100101", B"11010010", B"00001101", B"11011010", B"11011000",
1978
 B"11101010", B"11101011", B"11100010", B"00010000", B"11100001",
1979
 B"00011000", B"11100100", B"11010110", B"00100110", B"00011101",
1980
 B"00100000", B"11010101", B"11101000", B"11100011", B"11101110",
1981
 B"00100110", B"11010101", B"00100110", B"00010111", B"00011111",
1982
 B"11011111", B"00001011", B"00011110", B"11000111", B"11100100",
1983
 B"00101000", B"00100100", B"11101101", B"11101011", B"11010101",
1984
 B"11000101", B"00011001", B"11100100", B"00010101", B"00010101",
1985
 B"00100101", B"11011011", B"11011011", B"00110111", B"00100000",
1986
 B"11011010", B"11100001", B"00100011", B"00110010", B"11101010",
1987
 B"11011101", B"00100001", B"00001011", B"11100000", B"11100111",
1988
 B"00001110", B"11100001", B"00111010", B"00111010", B"11100101",
1989
 B"00011011", B"00011110", B"11101001", B"11010000", B"11011000",
1990
 B"11010011", B"00100110", B"00100000", B"11100111", B"00110100",
1991
 B"11001101", B"11101110", B"11010010", B"11111000", B"00100000",
1992
 B"00110111", B"11110100", B"00010100", B"11100100", B"11101000",
1993
 B"11101110", B"00101001", B"00010011", B"00100100", B"00011101",
1994
 B"11110011", B"11010111", B"00100011", B"00101100", B"00101001",
1995
 B"11011000", B"00100110", B"11101000", B"00101011", B"11100111",
1996
 B"00011000", B"00010100", B"11100011", B"11100001", B"00110001",
1997
 B"00100011", B"11010101", B"11100110", B"00011000", B"00100011",
1998
 B"00010110", B"00010000", B"00100011", B"00100111", B"00010011",
1999
 B"00100101", B"11010011", B"00100110", B"11010011", B"00010111",
2000
 B"11101111", B"11010111", B"00110101", B"00010111", B"11000000",
2001
 B"11100101", B"11011110", B"00100110", B"00011011", B"11011010",
2002
 B"00011011", B"11011011", B"00100000", B"00001001", B"11100011",
2003
 B"00100010", B"00010110", B"11001001", B"00000010", B"00011111",
2004
 B"11011111", B"00100011", B"00110001", B"11100101", B"11011100",
2005
 B"11001011", B"11101111", B"11100100", B"11010011", B"00100001",
2006
 B"00011111", B"00100101", B"11101101", B"00100000", B"11010011",
2007
 B"00001110", B"11011010", B"11101001", B"00100101", B"00100001",
2008
 B"00011100", B"00101111", B"11100001", B"11110110", B"11010010",
2009
 B"00010001", B"00101001", B"00100110", B"11011111", B"00011110",
2010
 B"11001110", B"00010111", B"00100110", B"00100010", B"11100011",
2011
 B"00100110", B"00100000", B"11110001", B"11011110", B"00010000",
2012
 B"00100010", B"00101001", B"00011100", B"11010111", B"00011110",
2013
 B"11100101", B"00011110", B"11011110", B"00001010", B"11010111",
2014
 B"11001100", B"00100001", B"11001101", B"11101010", B"11100111",
2015
 B"11011010", B"00100110", B"11011000", B"00100101", B"00011110",
2016
 B"11011101", B"00110111", B"00010010", B"11011111", B"11011001",
2017
 B"00100000", B"11101011", B"11011010", B"11010111", B"00101110",
2018
 B"00011001", B"11101000", B"11101111", B"00100010", B"00100011",
2019
 B"00101000", B"11010010", B"00100000", B"11101000", B"00010111",
2020
 B"11010100", B"11100110", B"11001100", B"11100001", B"00100111",
2021
 B"00101000", B"00011001", B"00011111", B"11011000", B"00100000",
2022
 B"00100101", B"11110001", B"11011111", B"11100010", B"11101011",
2023
 B"11101100", B"00011000", B"00100011", B"00110000", B"11110001",
2024
 B"00011100", B"11010100", B"00100001", B"11101100", B"11010010",
2025
 B"11101101", B"00010000", B"11001010", B"00100101", B"11011010",
2026
 B"11010111", B"00011111", B"00101010", B"00100101", B"00010101",
2027
 B"11101001", B"00110101", B"11100101", B"00011000", B"11111010",
2028
 B"00010101", B"00110010", B"00101110", B"00100101", B"00111001",
2029
 B"00101111", B"00100010", B"00011110", B"00100010", B"11101010",
2030
 B"00011001", B"11100010", B"11100110", B"11100011", B"00001111",
2031
 B"00010001", B"00011011", B"11011000", B"11100000", B"11100011",
2032
 B"11011100", B"00011110", B"11100011", B"00010101", B"11100101",
2033
 B"00011010", B"00101000", B"11111100", B"11101010", B"11010110",
2034
 B"11010011", B"11100101", B"11011000", B"00101100", B"11101011",
2035
 B"11100101", B"11100101", B"00101101", B"00110110", B"11010100",
2036
 B"11001101", B"00010100", B"00011100", B"00101001", B"11101010",
2037
 B"00011111", B"11010110", B"00101101", B"00011101", B"11100110",
2038
 B"11011000", B"11011101", B"11100101", B"00101100", B"11010110",
2039
 B"00010100", B"11100100", B"00010110", B"00011011", B"11011000",
2040
 B"11011001", B"11010000", B"11010001", B"11011010", B"11011111",
2041
 B"00100110", B"00011001", B"00100111", B"11100101", B"00011111",
2042
 B"11010010", B"00100000", B"00011101", B"00010000", B"11101000",
2043
 B"11100000", B"00011010", B"00100010", B"11011000", B"11011101",
2044
 B"11011000", B"11100011", B"00010000", B"00011011", B"00011111",
2045
 B"00010111", B"11011011", B"11101001", B"11010101", B"00101101",
2046
 B"00011010", B"00100001", B"11001111", B"00011110", B"11110001",
2047
 B"00101010", B"11100100", B"11010101", B"11100010", B"11010010",
2048
 B"00011110", B"00101001", B"00100111", B"00111111", B"11101100",
2049
 B"00010100", B"11100100", B"00101110", B"11010111", B"11011010",
2050
 B"00001110", B"00100000", B"00100000", B"11100000", B"11101001",
2051
 B"11110010", B"11110010", B"00100111", B"11100110", B"00100010",
2052
 B"00110010", B"00110100", B"00010010", B"11101111", B"00011010",
2053
 B"11011111", B"00010011", B"11011100", B"00010101", B"11101000",
2054
 B"00010101", B"11101000", B"11101100", B"11100101", B"00001101",
2055
 B"00101100", B"11101001", B"00100011", B"00010111", B"11010100",
2056
 B"11100000", B"11100001", B"11011101", B"11100000", B"11110011",
2057
 B"11010000", B"11100001", B"11011100", B"00100101", B"00001101",
2058
 B"00101010", B"00011100", B"11010110", B"11110100", B"00100100",
2059
 B"11011100", B"00100110", B"11100101", B"11100011", B"00100001",
2060
 B"11100110", B"00000110", B"11100000", B"00101111", B"11011011",
2061
 B"11011000", B"00100110", B"00101111", B"00011100", B"11011011",
2062
 B"00010001", B"00100010", B"11111010", B"00100011", B"00011101",
2063
 B"11100001", B"00011111", B"00100011", B"11011001", B"00001101",
2064
 B"00011010", B"11010011", B"11101100", B"00010000", B"00010111",
2065
 B"11010011", B"00011101", B"11001111", B"11101001", B"11011000",
2066
 B"00100101", B"00011111", B"11011001", B"00100110", B"11100111",
2067
 B"00010101", B"11011110", B"11110010", B"00010110", B"00100000",
2068
 B"11110010", B"00100010", B"11100101", B"11100000", B"11111000",
2069
 B"00011001", B"00111100", B"11101101", B"00110000", B"11100000",
2070
 B"00011100", B"00100101", B"11110100", B"00010000", B"00101101",
2071
 B"11011111", B"11010111", B"11111101", B"00011001", B"00101001",
2072
 B"11010111", B"00100110", B"11011011", B"00110001", B"00010110",
2073
 B"11101110", B"11101100", B"00100100", B"11100000", B"11010110",
2074
 B"11100000", B"11011100", B"11010001", B"00010010", B"00100110",
2075
 B"11100011", B"11100001", B"11001101", B"11100001", B"11010011",
2076
 B"11100011", B"11001000", B"00010100", B"00010110", B"00011011",
2077
 B"00011101", B"11011011", B"11100000", B"11110001", B"00011111",
2078
 B"00100111", B"00010110", B"11000110", B"00101001", B"11100101",
2079
 B"00010010", B"11001001", B"11010000", B"00100000", B"00000110",
2080
 B"00000100", B"00100011", B"11011001", B"11011100", B"00011111",
2081
 B"00110110", B"11011000", B"11011001", B"00100100", B"00110001",
2082
 B"11101001", B"11000110", B"11100010", B"00101110", B"00011100",
2083
 B"11010101", B"11100010", B"11101101", B"11001110", B"11001100",
2084
 B"00111001", B"00100101", B"11010111", B"11011110", B"00011101",
2085
 B"00011010", B"11110000", B"11100111", B"11110011", B"11011011",
2086
 B"00101001", B"11011101", B"00101001", B"11100011", B"11100011",
2087
 B"00101011", B"11011111", B"11010101", B"00010101", B"00011001",
2088
 B"00100001", B"00110010", B"11011101", B"11011010", B"00011001",
2089
 B"00011110", B"00101010", B"00100100", B"00011011", B"00011010",
2090
 B"00011010", B"00011110", B"11000101", B"00100010", B"11001111",
2091
 B"00110110", B"11001110", B"11110001", B"00011011", B"00001110",
2092
 B"11011101", B"00100001", B"00110001", B"00100011", B"11011010",
2093
 B"00101011", B"11010101", B"00100000", B"11100000", B"00011111",
2094
 B"00101101", B"11011001", B"11011110", B"11011000", B"11100001",
2095
 B"11100110", B"00001011", B"11010001", B"11010100", B"11111011",
2096
 B"11011110", B"00100111", B"11011111", B"00100001", B"11010010",
2097
 B"00100110", B"00100100", B"11100001", B"11011100", B"11101100",
2098
 B"11000111", B"11010001", B"11100010", B"11101111", B"00010011",
2099
 B"00011101", B"00101111", B"00110100", B"11101010", B"11101000",
2100
 B"00110001", B"00011100", B"00010001", B"00011111", B"00010110",
2101
 B"00011011", B"00010001", B"00010000", B"00110000", B"11011011",
2102
 B"00011101", B"11011001", B"11001100", B"11100010", B"00101100",
2103
 B"00101011", B"00001011", B"11100000", B"00101101", B"11011001",
2104
 B"11010011", B"11100100", B"00010110", B"00100100", B"00010101",
2105
 B"00010000", B"11001111", B"00011010", B"00011111", B"11010010",
2106
 B"11100001", B"00101110", B"11010011", B"00011000", B"11010011",
2107
 B"11010000", B"11011111", B"00001110", B"01000000", B"11101000",
2108
 B"00010110", B"11110001", B"00110001", B"11011010", B"11001010",
2109
 B"11010000", B"00011011", B"00011001", B"11011011", B"11101110",
2110
 B"00100001", B"00101110", B"00011100", B"00110001", B"11100011",
2111
 B"11100111", B"00011110", B"11101001", B"00010110", B"11100001",
2112
 B"11010110", B"11001111", B"00011100", B"00100101", B"11100010",
2113
 B"00101000", B"00010110", B"11110010", B"11011001", B"11101111",
2114
 B"11001110", B"11010110", B"11011110", B"00101010", B"11010101",
2115
 B"00100010", B"11010111", B"11001111", B"00011101", B"00100000",
2116
 B"11101100", B"00101100", B"00011010", B"00011011", B"11110010",
2117
 B"00100001", B"11011010", B"00100100", B"11010011", B"11010110",
2118
 B"00101110", B"00011000", B"00011110", B"00100101", B"11001011",
2119
 B"11001110", B"11011010", B"00010011", B"00100101", B"01000001",
2120
 B"11100110", B"00100000", B"11011001", B"00101101", B"11100010",
2121
 B"11100011", B"00100010", B"11101000", B"00100101", B"11010110",
2122
 B"11011011", B"00101100", B"11001100", B"11101010", B"00100010",
2123
 B"11100000", B"00000110", B"11110111", B"11101110", B"00110111",
2124
 B"00101001", B"00100111", B"00110001", B"11100001", B"00100000",
2125
 B"11100100", B"00011110", B"11011100", B"00100001", B"10111101",
2126
 B"11100011", B"00011011", B"11001011", B"11010000", B"11100000",
2127
 B"11011111", B"00101111", B"11101101", B"11010110", B"00100010",
2128
 B"11010110", B"11011011", B"11110101", B"11101000", B"11111000",
2129
 B"00010000", B"11101101", B"11011010", B"11011011", B"00101001",
2130
 B"00011001", B"11100001", B"11101010", B"00101011", B"11001100",
2131
 B"00101000", B"11100111", B"11101000", B"00100011", B"00010011",
2132
 B"00101101", B"00011111", B"11011110", B"00100011", B"00101111",
2133
 B"10111101", B"11011111", B"00010010", B"11011101", B"11100010",
2134
 B"00011111", B"00011111", B"00100011", B"00111000", B"11011011",
2135
 B"11010010", B"00101000", B"11001111", B"11110111", B"11110000",
2136
 B"11100010", B"00100110", B"11011111", B"00100010", B"00011011",
2137
 B"00001101", B"11111010", B"00011011", B"00010111", B"11011001",
2138
 B"11100000", B"00010001", B"00010000", B"11101011", B"11100001",
2139
 B"00011111", B"11101000", B"11100000", B"11010011", B"11011110",
2140
 B"00011110", B"00011100", B"00001100", B"11011010", B"00100001",
2141
 B"11100001", B"00011111", B"11010110", B"00110101", B"00100010",
2142
 B"11100111", B"00100110", B"00011011", B"11011011", B"11101001",
2143
 B"00011111", B"11101001", B"11011011", B"11100010", B"11010000",
2144
 B"00010001", B"00101011", B"00011001", B"00100011", B"00101001",
2145
 B"11011110", B"11100100", B"00110000", B"11100100", B"11010100",
2146
 B"11010101", B"11000110", B"00011111", B"11011100", B"00011111",
2147
 B"11010110", B"11100110", B"11010110", B"00100001", B"00100001",
2148
 B"11100111", B"11001100", B"11100100", B"11011100", B"00100101",
2149
 B"00100011", B"00011000", B"00011010", B"00101011", B"00100110",
2150
 B"11010011", B"11110010", B"00100110", B"00011000", B"11011110",
2151
 B"11101011", B"11100010", B"11100001", B"11100100", B"00001000",
2152
 B"00001010", B"11100100", B"00011110", B"11010101", B"00101111",
2153
 B"00110000", B"00101110", B"00011101", B"00001110", B"00011100",
2154
 B"00010111", B"00001111", B"11000100", B"00110001", B"11101101",
2155
 B"00011011", B"11110110", B"11100001", B"00010101", B"00001100",
2156
 B"00010111", B"11101010", B"11100110", B"00011001", B"11001010",
2157
 B"11011101", B"11011001", B"11001110", B"11010011", B"11011100",
2158
 B"00101010", B"11110011", B"00101010", B"11010010", B"11110110",
2159
 B"00001110", B"00010101", B"00100000", B"11011001", B"11111110",
2160
 B"00101010", B"00010100", B"11100001", B"11010010", B"11101110",
2161
 B"00100110", B"11101011", B"00110000", B"11011000", B"11100001",
2162
 B"00011101", B"00100101", B"00001011", B"11011011", B"11101011",
2163
 B"11011000", B"11101000", B"00100011", B"11101001", B"00011101",
2164
 B"00011010", B"00011111", B"00101111", B"00101101", B"00011000",
2165
 B"00001110", B"00010110", B"00100011", B"00100011", B"11100000",
2166
 B"11101101", B"00101111", B"11001111", B"11011101", B"11100001",
2167
 B"11101011", B"00101011", B"00010111", B"11011100", B"00100000",
2168
 B"00011001", B"11101111", B"11101010", B"00010011", B"00101110",
2169
 B"00100100", B"00100000", B"11100010", B"00010001", B"11100011",
2170
 B"00011111", B"11011111", B"00011000", B"11011101", B"00110000",
2171
 B"00100011", B"11011111", B"00100011", B"00100100", B"11010100",
2172
 B"11011100", B"00001111", B"11100011", B"11100010", B"11011101",
2173
 B"00100001", B"00100100", B"11011000", B"00100010", B"00011001",
2174
 B"11101100", B"11110010", B"00011101", B"00101000", B"11111010",
2175
 B"11000110", B"00010111", B"11010100", B"11010011", B"11101011",
2176
 B"11100100", B"00011001", B"11010010", B"00101101", B"00011110",
2177
 B"11110000", B"00100100", B"00100111", B"11100011", B"00011100",
2178
 B"00100001", B"11100001", B"11011110", B"00011000", B"11000100",
2179
 B"00011110", B"11011010", B"11010010", B"00110101", B"00100100",
2180
 B"00011001", B"11100111", B"11010101", B"11011000", B"11011110",
2181
 B"00011100", B"11100100", B"00001111", B"11000111", B"11011010",
2182
 B"11100110", B"00100100", B"00100010", B"11100011", B"00011111",
2183
 B"11100010", B"11101110", B"11011111", B"00011111", B"00101110",
2184
 B"00110000", B"00101001", B"11100001", B"11110011", B"11001101",
2185
 B"11010110", B"11010100", B"00101011", B"00100011", B"11110001",
2186
 B"00101101", B"11011101", B"11011111", B"11111000", B"00100101",
2187
 B"11100000", B"00011000", B"11100001", B"11101100", B"00100111",
2188
 B"00011110", B"00110011", B"11010111", B"11011001", B"00100010",
2189
 B"00011110", B"11001001", B"11011100", B"00101010", B"11001111",
2190
 B"11100000", B"11110000", B"11010010", B"00011111", B"11010001",
2191
 B"00011110", B"00100101", B"00100001", B"11011111", B"00110110",
2192
 B"00010101", B"11100010", B"11001101", B"00101111", B"00111100",
2193
 B"00100010", B"00011101", B"00100100", B"00010101", B"00011010",
2194
 B"00011000", B"00111011", B"11000110", B"11100110", B"11011111",
2195
 B"11001100", B"00011000", B"00001010", B"00011101", B"00001101",
2196
 B"00100010", B"00011001", B"11101101", B"00100100", B"00011111",
2197
 B"11100001", B"11111011", B"00010011", B"11101111", B"11010100",
2198
 B"00101001", B"00100110", B"00100110", B"00001100", B"11100010",
2199
 B"11011100", B"00011010", B"11011010", B"11101010", B"00101010",
2200
 B"11101001", B"11010111", B"11101111", B"11100010", B"00111100",
2201
 B"11111011", B"11101100", B"00011101", B"11111011", B"11101101",
2202
 B"11101111", B"11100101", B"00011010", B"00100100", B"00100110",
2203
 B"00101001", B"00011110", B"00011110", B"00010001", B"00010011",
2204
 B"00011001", B"00110001", B"00010111", B"00101110", B"00011100",
2205
 B"00011111", B"00101001", B"00010100", B"11001011", B"00110001",
2206
 B"11110110", B"00010011", B"11010101", B"11100010", B"00011101",
2207
 B"00101100", B"11000110", B"11011010", B"00011000", B"11100111",
2208
 B"00010000", B"11011010", B"11100011", B"00011100", B"00101001",
2209
 B"11010110", B"00110001", B"00101101", B"11111010", B"00100011",
2210
 B"00101110", B"11100111", B"00001010", B"11011100", B"11100100",
2211
 B"11110011", B"11110011", B"00001110", B"11100101", B"00011110",
2212
 B"00100001", B"00100011", B"00101101", B"11011101", B"00101010",
2213
 B"11011010", B"00001100", B"11101011", B"11101110", B"00011001",
2214
 B"11011110", B"00011010", B"11100111", B"11100100", B"00100100",
2215
 B"00011110", B"00100100", B"00011111", B"00101010", B"00011000",
2216
 B"00101001", B"00011001", B"00101000", B"00100100", B"00011100",
2217
 B"00100100", B"11100011", B"11100011", B"00100111", B"00011001",
2218
 B"11100101", B"11101011", B"11100000", B"00100010", B"11000111",
2219
 B"11101101", B"11100111", B"00001011", B"00011000", B"11010100",
2220
 B"11100011", B"00100000", B"11010110", B"00101000", B"11011111",
2221
 B"11100101", B"00110101", B"00011110", B"11011000", B"11010101",
2222
 B"00110001", B"00011000", B"00011100", B"00010010", B"11101011",
2223
 B"11010010", B"11011111", B"00010000", B"00101000", B"00011011",
2224
 B"11001110", B"00101011", B"11101111", B"00011010", B"00010101",
2225
 B"11111001", B"00100101", B"11010100", B"11011101", B"11100101",
2226
 B"00010101", B"00011111", B"11010110", B"11001100", B"11011100",
2227
 B"00101101", B"00100000", B"11011011", B"00010110", B"11001101",
2228
 B"11011110", B"11100010", B"00010111", B"11010101", B"00001010",
2229
 B"11011010", B"11011111", B"00011001", B"11100010", B"00100001",
2230
 B"00100001", B"11100001", B"11011000", B"11011011", B"11010110",
2231
 B"11100010", B"11011010", B"11101001", B"11101001", B"00011111",
2232
 B"00100011", B"11011000", B"00110100", B"11010111", B"11011101",
2233
 B"11011101", B"11101010", B"11011101", B"00100010", B"00001001",
2234
 B"00101010", B"00010100", B"11011101", B"11101010", B"11010011",
2235
 B"00100101", B"00100100", B"11011010", B"00101100", B"11001110",
2236
 B"00101111", B"11001111", B"11001110", B"11101010", B"11100011",
2237
 B"00100101", B"11001100", B"00100110", B"11010101", B"11100000",
2238
 B"00011110", B"11011000", B"00011010", B"11100000", B"11100010",
2239
 B"00111000", B"11100010", B"00101011", B"11110000", B"00100010",
2240
 B"11011101", B"11011100", B"00011110", B"00110010", B"11010000",
2241
 B"00011101", B"00011110", B"11101001", B"11100000", B"11101000",
2242
 B"11011110", B"11011010", B"11011101", B"00110000", B"11011010",
2243
 B"00011001", B"11111000", B"11011111", B"00011001", B"00011001",
2244
 B"11100100", B"11100101", B"11011110", B"11101000", B"00110011",
2245
 B"00100111", B"00010010", B"00000001", B"00100101", B"11011011",
2246
 B"11001010", B"11101010", B"11010110", B"00011110", B"11010101",
2247
 B"00100101", B"00100111", B"11010111", B"11101010", B"00011000",
2248
 B"11001101", B"11101111", B"11100111", B"11011101", B"11011110",
2249
 B"00100000", B"00001001", B"00010010", B"11100101", B"00011010",
2250
 B"11010101", B"00011111", B"11100101", B"00101101", B"11100101",
2251
 B"00100111", B"11011111", B"11111101", B"00101000", B"00011111",
2252
 B"00101010", B"11110110", B"00010100", B"11001111", B"11100101",
2253
 B"11011001", B"00101001", B"00101111", B"11100111", B"11011010",
2254
 B"11100101", B"00011101", B"00011011", B"11100100", B"00011111",
2255
 B"11010101", B"11010110", B"00001001", B"11011001", B"00100110",
2256
 B"11011000", B"11011010", B"00110000", B"00100000", B"00100111",
2257
 B"00100011", B"00010111", B"00110000", B"00101000", B"00011001",
2258
 B"00100111", B"00011110", B"00011000", B"11100111", B"11100011",
2259
 B"11100010", B"11101011", B"00101101", B"11011101", B"00101000",
2260
 B"00100101", B"11011101", B"11111011", B"00011000", B"11011011",
2261
 B"11011111", B"11100101", B"11100101", B"11011000", B"11011100",
2262
 B"11100001", B"11010110", B"00100111", B"00011111", B"00010010",
2263
 B"00100000", B"11011110", B"00101001", B"00011100", B"00001110",
2264
 B"11001100", B"00110111", B"11101110", B"00011001", B"11010101",
2265
 B"00101001", B"00010001", B"11100110", B"11100110", B"11101010",
2266
 B"11001101", B"11100000", B"00100001", B"11100111", B"11110000",
2267
 B"00100000", B"11011000", B"11011010", B"11010110", B"11001111",
2268
 B"00010011", B"11010111", B"00010010", B"11010010", B"11100100",
2269
 B"11100111", B"00001000", B"00100010", B"00100001", B"00011010",
2270
 B"11101001", B"00010010", B"00110000", B"11010101", B"11010001",
2271
 B"00011010", B"11011101", B"00101110", B"11000011", B"11110101",
2272
 B"11010101", B"00101001", B"00011101", B"11011010", B"11101001",
2273
 B"11011001", B"11001100", B"11110011", B"00011010", B"00100011",
2274
 B"00010110", B"00011001", B"00100000", B"00100011", B"00101010",
2275
 B"00011000", B"00011010", B"00110001", B"00100110", B"00010001",
2276
 B"11011011", B"11000110", B"00101110", B"00110101", B"11110111",
2277
 B"00101000", B"11101110", B"11100011", B"00100111", B"11100111",
2278
 B"00010110", B"11100001", B"11011011", B"11101011", B"00100101",
2279
 B"00010101", B"00101001", B"11001111", B"00011111", B"11011100",
2280
 B"11101011", B"11101110", B"00100111", B"00100000", B"11100010",
2281
 B"11101100", B"00100001", B"11000101", B"00010111", B"11100100",
2282
 B"11011011", B"11111101", B"00011101", B"11011010", B"11010001",
2283
 B"11110010", B"11111001", B"00010100", B"11110000", B"00001001",
2284
 B"00100001", B"11011000", B"11011010", B"11011110", B"11010100",
2285
 B"00100111", B"11010110", B"00011101", B"00011000", B"11100000",
2286
 B"00110100", B"11001011", B"11010111", B"11011111", B"00110010",
2287
 B"00101001", B"00010100", B"00011100", B"11110010", B"11011000",
2288
 B"00011101", B"00100110", B"11011100", B"11010000", B"00101110",
2289
 B"11011010", B"00100001", B"11100110", B"11010010", B"11100110",
2290
 B"00011010", B"00001001", B"00100111", B"00100001", B"11010000",
2291
 B"11101001", B"00010010", B"00101101", B"11110001", B"11100101",
2292
 B"00101010", B"00100000", B"11011110", B"11011011", B"00101011",
2293
 B"00011010", B"11010010", B"11011111", B"11110011", B"00011111",
2294
 B"00010100", B"00001010", B"11110000", B"00010101", B"11110101",
2295
 B"00010011", B"11011110", B"11011000", B"00100010", B"11101100",
2296
 B"00001000", B"11110100", B"11100010", B"00101000", B"11010001",
2297
 B"00011100", B"11101000", B"11111011", B"11100101", B"00101101",
2298
 B"00101001", B"11100100", B"11101111", B"00011110", B"00101010",
2299
 B"00100001", B"11100111", B"00000110", B"11100000", B"00011100",
2300
 B"00100111", B"11011110", B"11110010", B"11101000", B"11011101",
2301
 B"00011111", B"11011000", B"00101101", B"11110001", B"00101001",
2302
 B"11100111", B"00100000", B"11111000", B"11100010", B"00011111",
2303
 B"00011011", B"11011011", B"11100110", B"00010011", B"00011001",
2304
 B"00101010", B"00101011", B"11100110", B"11010010", B"11100101",
2305
 B"11000111", B"11100000", B"00100100", B"00011110", B"11100100",
2306
 B"00111110", B"11110100", B"00101011", B"11011010", B"00010111",
2307
 B"00011010", B"11101001", B"00001000", B"00100011", B"11001110",
2308
 B"00011110", B"00001100", B"11100100", B"11011111", B"00011101",
2309
 B"00101100", B"11101011", B"11100111", B"11011000", B"11011100",
2310
 B"11101001", B"00100011", B"00101000", B"11101010", B"00011010",
2311
 B"11011100", B"11010110", B"00011110", B"00101010", B"11001111",
2312
 B"11100110", B"11100110", B"11110111", B"11001110", B"11100001",
2313
 B"00011111", B"11101110", B"11011110", B"11101011", B"00101111",
2314
 B"00100000", B"11010111", B"00001110", B"11011110", B"00011000",
2315
 B"00111001", B"11100101", B"00110001", B"00100001", B"11101111",
2316
 B"11101100", B"00101000", B"11100001", B"00100011", B"11100110",
2317
 B"11011010", B"00001111", B"00010100", B"11100110", B"00001111",
2318
 B"11110001", B"00100101", B"11101001", B"11011111", B"00010011",
2319
 B"00101111", B"00011100", B"11011001", B"00010111", B"11110011",
2320
 B"11101010", B"11010011", B"00011111", B"00100000", B"11010110",
2321
 B"00100111", B"11110001", B"11100100", B"11011011", B"00100100",
2322
 B"00001010", B"11100010", B"00101101", B"11010111", B"00101000",
2323
 B"11010110", B"11011101", B"11100001", B"00100000", B"00100010",
2324
 B"11011111", B"00010101", B"11011101", B"11010100", B"11011110",
2325
 B"00100011", B"00101001", B"11111100", B"00101010", B"11011011",
2326
 B"00011100", B"00010110", B"11100010", B"00101110", B"00010110",
2327
 B"11011011", B"00010110", B"11101110", B"11100101", B"00100001",
2328
 B"11100010", B"11100000", B"11110011", B"11001111", B"00101011",
2329
 B"11010000", B"11100110", B"00001111", B"10110111", B"11110000",
2330
 B"11101110", B"11011001", B"11010111", B"00011110", B"00001100",
2331
 B"00100011", B"11011111", B"00100101", B"11010110", B"00011000",
2332
 B"00010101", B"00011101", B"11101111", B"00011111", B"11111101",
2333
 B"11101100", B"11010101", B"00010101", B"11010010", B"11010010",
2334
 B"11011100", B"11001001", B"00011000", B"00100010", B"00110001",
2335
 B"00010100", B"00010101", B"00100011", B"11011111", B"00010111",
2336
 B"00010011", B"11011100", B"11101000", B"00000101", B"11011011",
2337
 B"11010101", B"11100011", B"11011001", B"00100001", B"00100101",
2338
 B"00110010", B"00101001", B"11011011", B"00110100", B"11011110",
2339
 B"11101111", B"11010011", B"00101000", B"00100010", B"11001111",
2340
 B"11010101", B"00011001", B"00011111", B"00100111", B"11101110",
2341
 B"00100110", B"11011001", B"00010011", B"00100001", B"00100010",
2342
 B"00100010", B"00100111", B"00011000", B"00110001", B"00101100",
2343
 B"00010010", B"00100001", B"11100010", B"00010101", B"00100000",
2344
 B"11101011", B"00010010", B"00010110", B"11101001", B"00101011",
2345
 B"11100101", B"11100100", B"00011000", B"11101011", B"11101111",
2346
 B"11001100", B"11010111", B"00011111", B"00100101", B"00110100",
2347
 B"11011011", B"00011101", B"11010111", B"00010001", B"11010110",
2348
 B"00101111", B"00011101", B"11011101", B"00011110", B"00011100",
2349
 B"11101110", B"11101111", B"00100010", B"00101111", B"00001100",
2350
 B"00001101", B"11110100", B"00000101", B"11100011", B"00011001",
2351
 B"11100111", B"00100110", B"11101001", B"11010100", B"00010011",
2352
 B"11101100", B"11100101", B"11001111", B"11010011", B"00101101",
2353
 B"11011000", B"00100110", B"00110101", B"11100101", B"00001110",
2354
 B"00011011", B"11011110", B"11101001", B"11011110", B"11011000",
2355
 B"11001100", B"00100100", B"00011100", B"00101011", B"00100000",
2356
 B"00100110", B"11011101", B"11011100", B"00100010", B"11110100",
2357
 B"11100000", B"11100010", B"11010111", B"00011100", B"00010110",
2358
 B"00101010", B"11011000", B"00010101", B"11011101", B"00110000",
2359
 B"11011101", B"00011111", B"00100110", B"11011110", B"00100001",
2360
 B"00010100", B"11011011", B"11100111", B"00110000", B"11010110",
2361
 B"00111001", B"00011100", B"11101100", B"11001101", B"11100011",
2362
 B"11110001", B"11001101", B"00001101", B"00100010", B"11100110",
2363
 B"11001100", B"00110010", B"00100111", B"11101001", B"11010111",
2364
 B"00101001", B"00011010", B"11110000", B"11100010", B"00101110",
2365
 B"00111001", B"11010100", B"11011100", B"00011101", B"11100011",
2366
 B"00100001", B"00110011", B"11011000", B"00111110", B"00011001",
2367
 B"11010110", B"00011100", B"11010100", B"11010000", B"11000000",
2368
 B"11110111", B"00011111", B"11100110", B"00011100", B"00101110",
2369
 B"11011010", B"00100001", B"00101100", B"11010001", B"00111011",
2370
 B"00111010", B"11100100", B"11100001", B"11110011", B"11011111",
2371
 B"11101011", B"00011110", B"00011100", B"00110101", B"00100101",
2372
 B"00010001", B"11011010", B"00010011", B"00011000", B"11110000",
2373
 B"00100110", B"00011001", B"11011110", B"00110000", B"11110000",
2374
 B"00100010", B"11001100", B"11010000", B"11010100", B"00000001",
2375
 B"00011110", B"00101101", B"11011111", B"00101001", B"00101010",
2376
 B"11011011", B"00100001", B"00011111", B"11111100", B"11111011",
2377
 B"00110001", B"00010101", B"00100011", B"11101010", B"00101111",
2378
 B"11101100", B"00100111", B"00100010", B"00011011", B"11101011",
2379
 B"11010010", B"00010000", B"00011001", B"11100101", B"11011001",
2380
 B"11011010", B"00100001", B"00011011", B"00010101", B"11101110",
2381
 B"00000111", B"11010100", B"00010011", B"00101000", B"00011000",
2382
 B"11101101", B"11100000", B"00100001", B"00101101", B"11110001",
2383
 B"11010010", B"00101110", B"11011100", B"11100101", B"00011010",
2384
 B"11100010", B"11101111", B"11100001", B"11101001", B"11100001",
2385
 B"00110111", B"00100111", B"11100101", B"11100100", B"11011110",
2386
 B"11110000", B"11011000", B"00001101", B"11011000", B"00110110",
2387
 B"11000111", B"11011101", B"10111110", B"00010001", B"00001101",
2388
 B"11100011", B"11111111", B"00001011", B"11101010", B"11011110",
2389
 B"11011010", B"11011110", B"11101100", B"11111110", B"00000101",
2390
 B"00011100", B"00110010", B"00010110", B"00101010", B"11100011",
2391
 B"11110110", B"11010001", B"00100000", B"11010011", B"11101001",
2392
 B"11011100", B"00010111", B"00101101", B"11011001", B"00101101",
2393
 B"00010101", B"11101001", B"00011000", B"00001101", B"11011010",
2394
 B"11100000", B"00011111", B"00011010", B"00101101", B"00011110",
2395
 B"00100011", B"00100111", B"00101011", B"00100110", B"00011011",
2396
 B"00001111", B"00001100", B"11100100", B"00011101", B"00101111",
2397
 B"11101000", B"11100000", B"00011000", B"00010111", B"00011101",
2398
 B"11011011", B"00100101", B"00011100", B"11010010", B"11011111",
2399
 B"00011011", B"11101011", B"11100101", B"11011100", B"00011100",
2400
 B"00100101", B"11110010", B"00100000", B"11010001", B"00110100",
2401
 B"11110000", B"00010010", B"00010101", B"11100110", B"00110111",
2402
 B"00101010", B"11001000", B"00010110", B"00011111", B"11100010",
2403
 B"00100101", B"00010000", B"11011110", B"11100011", B"00010001",
2404
 B"00011011", B"11010110", B"00011000", B"00100011", B"11101100",
2405
 B"00100100", B"00010111", B"11101010", B"00011110", B"11100000",
2406
 B"11001000", B"00100001", B"11100101", B"11001111", B"11100111",
2407
 B"11010100", B"11101000", B"00100001", B"00010011", B"11101101",
2408
 B"11011110", B"11011110", B"11101001", B"11101100", B"11001111",
2409
 B"11100011", B"00011011", B"11100100", B"00101000", B"11010001",
2410
 B"11110000", B"00110001", B"11011110", B"00100000", B"11010111",
2411
 B"00010010", B"11100010", B"11010101", B"00011010", B"00100101",
2412
 B"11110001", B"11010111", B"11001000", B"11101001", B"00100001",
2413
 B"00110010", B"00010101", B"00010101", B"11100100", B"11101100",
2414
 B"00101100", B"11100001", B"00001111", B"11011101", B"11010011",
2415
 B"00101001", B"00100010", B"11100000", B"11010000", B"00010011",
2416
 B"11101101", B"11001111", B"11100001", B"11110011", B"00010010",
2417
 B"11010110", B"00010001", B"00010111", B"11000001", B"00100000",
2418
 B"00100111", B"11011010", B"00001111", B"11011110", B"11011001",
2419
 B"11100100", B"11101001", B"00100100", B"11100010", B"00011010",
2420
 B"00010101", B"11101001", B"11011111", B"11100110", B"11001101",
2421
 B"00011000", B"11101001", B"00001110", B"11100111", B"00101011",
2422
 B"00010000", B"00011111", B"11100001", B"00010011", B"11100000",
2423
 B"00100011", B"00101101", B"11100001", B"11001011", B"11110010",
2424
 B"11010111", B"00100100", B"11101010", B"00001110", B"11100101",
2425
 B"00100101", B"00010110", B"00011011", B"11011000", B"00011101",
2426
 B"11010111", B"00010111", B"00010110", B"00101101", B"11010100",
2427
 B"00010100", B"00010001", B"11010010", B"11001100", B"00110000",
2428
 B"00010101", B"11011101", B"11001111", B"00110110", B"11101110",
2429
 B"11010110", B"11010100", B"11011001", B"11110100", B"00101011",
2430
 B"00100001", B"00110011", B"11101011", B"00101000", B"11100010",
2431
 B"00010111", B"11101010", B"00101010", B"00011101", B"11101001",
2432
 B"11011110", B"11100001", B"11101011", B"11100110", B"00011111",
2433
 B"00010011", B"11100101", B"11100010", B"00010100", B"00010101",
2434
 B"11001101", B"11010101", B"11100001", B"11010111", B"00100101",
2435
 B"00101100", B"00100110", B"00101001", B"11010101", B"11100010",
2436
 B"00010001", B"00010100", B"11011111", B"00100110", B"00101111",
2437
 B"11100001", B"11010110", B"00110101", B"11111011", B"00100111",
2438
 B"11100101", B"00010100", B"00011100", B"11010110", B"11100000",
2439
 B"00111001", B"11110101", B"11100011", B"11010100", B"11011100",
2440
 B"00110000", B"00011101", B"00001111", B"00011111", B"00011000",
2441
 B"00011010", B"11101011", B"11011001", B"00100111", B"00100110",
2442
 B"11011100", B"11100001", B"00011100", B"00100110", B"00010101",
2443
 B"00011101", B"00011101", B"00101110", B"00000110", B"00010110",
2444
 B"11011100", B"11100000", B"11101000", B"11100001", B"00100010",
2445
 B"00101011", B"00010101", B"00010011", B"11010101", B"11010011",
2446
 B"00010110", B"00011100", B"00001111", B"00011111", B"11001001",
2447
 B"11101001", B"00010100", B"00011000", B"00110010", B"11100100",
2448
 B"00010010", B"11101000", B"00100011", B"11100000", B"11100001",
2449
 B"00010111", B"00110101", B"00100110", B"11101011", B"00011100",
2450
 B"11100100", B"00000010", B"00011011", B"00000110", B"00010101",
2451
 B"11011100", B"00011101", B"11011011", B"00100010", B"11100011",
2452
 B"11010001", B"00011001", B"00101001", B"00011100", B"11100001",
2453
 B"00101100", B"11100011", B"00101100", B"00010100", B"11011111",
2454
 B"11011010", B"00101001", B"11011101", B"11100010", B"11100100",
2455
 B"11111111", B"11100000", B"00101101", B"11010111", B"00100001",
2456
 B"11011000", B"11101000", B"00011100", B"00101110", B"11111110",
2457
 B"11001011", B"11011010", B"11101010", B"00011101", B"00010111",
2458
 B"00011010", B"00011111", B"00010001", B"11110010", B"00011010",
2459
 B"00011101", B"11101011", B"00100001", B"00100001", B"11100010",
2460
 B"00100011", B"11001010", B"11010010", B"11011011", B"11011100",
2461
 B"00101100", B"11101011", B"00011100", B"00101000", B"00011100",
2462
 B"11100111", B"00101011", B"00011010", B"11100110", B"11100100",
2463
 B"00110100", B"00100001", B"11110001", B"11010110", B"11011011",
2464
 B"11101010", B"00100011", B"11100010", B"00010001", B"11011101",
2465
 B"01000001", B"00101001", B"11110001", B"11100000", B"11101001",
2466
 B"11110000", B"11011010", B"00101000", B"11000010", B"00001110",
2467
 B"00011011", B"11011110", B"00111100", B"00110000", B"11000110",
2468
 B"00100001", B"00001111", B"00001010", B"11101100", B"00011010",
2469
 B"11101101", B"00011011", B"11101001", B"11101010", B"00011101",
2470
 B"00100000", B"00011110", B"11100010", B"00101100", B"11100110",
2471
 B"00111011", B"00011110", B"11100110", B"00011011", B"00011001",
2472
 B"11100011", B"00101101", B"00100101", B"11011001", B"11101100",
2473
 B"11010001", B"11011100", B"00101100", B"00111001", B"11100000",
2474
 B"00100101", B"11011011", B"00100001", B"00011111", B"11100001",
2475
 B"00100100", B"00100101", B"11011001", B"11000110", B"00101110",
2476
 B"11111000", B"11011110", B"11011011", B"00011001", B"00011001",
2477
 B"11100011", B"00011100", B"11011101", B"00100011", B"11101010",
2478
 B"11101100", B"00100010", B"11001111", B"11101011", B"11110000",
2479
 B"11011011", B"11110000", B"00100000", B"00010110", B"00010010",
2480
 B"11110000", B"00011100", B"11100010", B"00100110", B"00010110",
2481
 B"11011101", B"11101100", B"00010101", B"11110101", B"11011000",
2482
 B"11010011", B"11010110", B"00011001", B"11011001", B"11111100",
2483
 B"11011111", B"11011011", B"00001100", B"11011101", B"00011010",
2484
 B"00010110", B"11100110", B"11101100", B"00011011", B"11100011",
2485
 B"11011011", B"11100111", B"11010100", B"00101001", B"00101011",
2486
 B"00011001", B"11101110", B"00011100", B"11100110", B"00001101",
2487
 B"11101001", B"00001110", B"11011110", B"11100110", B"00100101",
2488
 B"11001101", B"11100001", B"11101010", B"11000000", B"00100111",
2489
 B"00011111", B"00100011", B"11011010", B"00010110", B"11011000",
2490
 B"00011011", B"11100011", B"11011101", B"11100001", B"00011111",
2491
 B"11100111", B"00100100", B"11101010", B"11011101", B"00101011",
2492
 B"11101101", B"00100000", B"00010001", B"00101110", B"11110000",
2493
 B"00101010", B"11110010", B"00110011", B"11110100", B"11110100",
2494
 B"11101000", B"11100100", B"00011110", B"00101000", B"00001000",
2495
 B"00101111", B"11011100", B"00101011", B"00100011", B"11010001",
2496
 B"11001001", B"11100111", B"11011101", B"11101111", B"00110000",
2497
 B"00100000", B"11011011", B"11100011", B"00100001", B"00011100",
2498
 B"11011000", B"11101011", B"00011101", B"00101110", B"11010111",
2499
 B"11100100", B"00101001", B"00100101", B"11100101", B"11100111",
2500
 B"11011000", B"00100101", B"11101000", B"11100110", B"11011101",
2501
 B"00100010", B"00011001", B"11100011", B"11100110", B"11010010",
2502
 B"11010111", B"11011010", B"00101011", B"00011110", B"00011010",
2503
 B"00011010", B"11110100", B"11101000", B"00011000", B"00110001",
2504
 B"00100000", B"00001101", B"11101100", B"11101000", B"11011001",
2505
 B"00011001", B"00011010", B"11100111", B"11011110", B"11100111",
2506
 B"11010100", B"11010110", B"11100000", B"11000111", B"00011010",
2507
 B"11101011", B"00011011", B"11011111", B"11010111", B"00101110",
2508
 B"11100111", B"11101001", B"11100110", B"11110101", B"00010000",
2509
 B"00101001", B"00001101", B"00011110", B"00100000", B"00100001",
2510
 B"11100010", B"00101111", B"00010000", B"11011101", B"11101011",
2511
 B"00101011", B"11100110", B"11101001", B"00100000", B"11100010",
2512
 B"00011001", B"11100110", B"11010101", B"00011001", B"00011111",
2513
 B"00011010", B"11001010", B"00010001", B"00101111", B"11000010",
2514
 B"11011010", B"00101000", B"11011101", B"00011110", B"11100101",
2515
 B"00101011", B"11010100", B"11111000", B"00111001", B"00101010",
2516
 B"00101100", B"11101011", B"00101110", B"00010110", B"11010111",
2517
 B"00100100", B"00011101", B"11100000", B"11010111", B"00011011",
2518
 B"00100101", B"00101000", B"11001101", B"00100111", B"11010000",
2519
 B"00010000", B"00011001", B"00100001", B"00001110", B"00011111",
2520
 B"00110000", B"00110000", B"00011001", B"00001101", B"00100010",
2521
 B"00010110", B"00010101", B"00100110", B"00100010", B"00100001",
2522
 B"00100000", B"00010010", B"11100001", B"11101101", B"00011101",
2523
 B"00010101", B"00011010", B"00101011", B"11010011", B"11001101",
2524
 B"11101100", B"00100101", B"11100100", B"11000110", B"11000111",
2525
 B"00100110", B"00011000", B"11010011", B"00101000", B"11011101",
2526
 B"00011100", B"00010100", B"11000111", B"00100000", B"00011011",
2527
 B"11010011", B"00100010", B"00010101", B"11011010", B"11011011",
2528
 B"00101100", B"00011001", B"11101111", B"11010001", B"00100001",
2529
 B"11100010", B"00100101", B"00011011", B"11011010", B"00100110",
2530
 B"00011100", B"11011010", B"11110011", B"00000100", B"00011100",
2531
 B"11100001", B"11011001", B"11110110", B"11101011", B"11011100",
2532
 B"11101110", B"00011101", B"00110000", B"11010110", B"11011000",
2533
 B"11011100", B"11101110", B"11011011", B"00100010", B"00011111",
2534
 B"11011000", B"11011111", B"00011100", B"00001101", B"11110101",
2535
 B"11000100", B"11011010", B"00011111", B"00100011", B"11100011",
2536
 B"11011110", B"11011001", B"11101110", B"11010001", B"00010110",
2537
 B"11100111", B"11100011", B"00101011", B"11110001", B"11001110",
2538
 B"11001100", B"11100101", B"11100000", B"11100010", B"11011111",
2539
 B"11100111", B"00100111", B"00100000", B"00100110", B"00011010",
2540
 B"11101001", B"00101110", B"11011101", B"11101010", B"11101000",
2541
 B"00100001", B"00101100", B"11100000", B"00100110", B"00011110",
2542
 B"00110001", B"11101000", B"00101110", B"11110000", B"00100001",
2543
 B"11001011", B"11011000", B"00110000", B"11100011", B"11011110",
2544
 B"11011010", B"00100000", B"00011011", B"11100001", B"00010101",
2545
 B"00101001", B"00101010", B"00101011", B"00100010", B"00100101",
2546
 B"00100001", B"00100101", B"00011011", B"11010010", B"11011010",
2547
 B"00001110", B"11100101", B"11100000", B"11100010", B"11100101",
2548
 B"00011001", B"11101000", B"00101001", B"11000111", B"11011000",
2549
 B"11110000", B"00010110", B"00100111", B"00011100", B"11100001",
2550
 B"11010000", B"11100011", B"11100101", B"00100011", B"11100010",
2551
 B"00011101", B"00001110", B"11101101", B"00100011", B"11110010",
2552
 B"11101000", B"11100000", B"00101110", B"00100101", B"11011001",
2553
 B"11100100", B"00010001", B"11010000", B"00100010", B"11011010",
2554
 B"11100000", B"00011101", B"00011011", B"00011110", B"11100000",
2555
 B"11101001", B"00011000", B"00101111", B"11100111", B"11100001",
2556
 B"00101101", B"11011000", B"11100110", B"00010110", B"11101111",
2557
 B"11010100", B"11011110", B"11011100", B"11100100", B"11010011",
2558
 B"00011001", B"00100101", B"00100100", B"00010111", B"11100110",
2559
 B"11011010", B"11010111", B"11111101", B"00011000", B"11010100",
2560
 B"11011100", B"11101100", B"11100111", B"11110111", B"11011110",
2561
 B"11011011", B"11100010", B"00100110", B"00011101", B"11010010",
2562
 B"00010110", B"11010101", B"11100111", B"11010010", B"11101010",
2563
 B"11110001", B"00100011", B"00011010", B"00100010", B"00001110",
2564
 B"11101001", B"11011010", B"00100110", B"11100111", B"00010011",
2565
 B"11110000", B"11011101", B"00011011", B"00101100", B"11100001",
2566
 B"11100011", B"00100100", B"11011110", B"11110010", B"11101001",
2567
 B"11100111", B"11100111", B"00010101", B"11100100", B"00010010",
2568
 B"11011101", B"11011011", B"00110110", B"00011001", B"00010100",
2569
 B"11101110", B"00101011", B"11010111", B"11100101", B"11101101",
2570
 B"00011111", B"00011110", B"11011100", B"00010111", B"00010010",
2571
 B"00011111", B"11110010", B"00100011", B"11011111", B"00011011",
2572
 B"11101110", B"00001111", B"11101111", B"00100001", B"11010011",
2573
 B"11100000", B"00101111", B"00100110", B"00011101", B"00011101",
2574
 B"00011011", B"00101011", B"00011110", B"00010000", B"00110011",
2575
 B"00001011", B"11011001", B"00101101", B"11010110", B"11011111",
2576
 B"11100111", B"00101110", B"00100100", B"11011100", B"11011011",
2577
 B"11100011", B"00010010", B"00011110", B"00011010", B"00011111",
2578
 B"11010110", B"11011101", B"11100111", B"00001111", B"00011000",
2579
 B"00100100", B"11101110", B"00101001", B"11010111", B"00101101",
2580
 B"11100110", B"11010110", B"11101100", B"11011000", B"00110000",
2581
 B"00011101", B"00100011", B"00011100", B"00110011", B"11011011",
2582
 B"00101000", B"11011011", B"11011100", B"11100010", B"00100111",
2583
 B"00010110", B"00011111", B"11101100", B"11010110", B"11100011",
2584
 B"11101111", B"00011001", B"11001100", B"00110010", B"11100011",
2585
 B"00011010", B"11101000", B"11010111", B"11110000", B"00100100",
2586
 B"00100110", B"11100000", B"00010000", B"11100110", B"00011000",
2587
 B"11101011", B"11010111", B"11101010", B"00100110", B"00011111",
2588
 B"11001001", B"11101110", B"00010111", B"11011110", B"00101101",
2589
 B"11010110", B"11011110", B"00011110", B"11100001", B"11010011",
2590
 B"11100001", B"00011010", B"00010100", B"11100010", B"00100100",
2591
 B"11100100", B"01000000", B"11101110", B"00100101", B"11100010",
2592
 B"11011011", B"11011110", B"00011000", B"00101101", B"11011001",
2593
 B"00100011", B"00001110", B"00011010", B"11101001", B"00011010",
2594
 B"11100001", B"00010011", B"00100010", B"00100101", B"11011111",
2595
 B"11011110", B"00100110", B"00101001", B"11110111", B"11011000",
2596
 B"11010110", B"11011101", B"00110100", B"11011100", B"00110000",
2597
 B"11100001", B"11010011", B"00110011", B"11011111", B"11111111",
2598
 B"11100001", B"00100000", B"00101010", B"11110001", B"00101001",
2599
 B"11100100", B"00101010", B"11011010", B"11000110", B"00001101",
2600
 B"11011111", B"11011111", B"11010001", B"11011011", B"11010100",
2601
 B"11011111", B"00010001", B"00100001", B"00101101", B"00100111",
2602
 B"11100101", B"11011010", B"11011111", B"11100010", B"11010010",
2603
 B"00101110", B"00001111", B"11011111", B"00100010", B"11100001",
2604
 B"00100001", B"00100010", B"00101011", B"00100001", B"00011011",
2605
 B"00011000", B"00100000", B"00011110", B"11101010", B"00100110",
2606
 B"11101110", B"11100100", B"11100110", B"00100011", B"00011011",
2607
 B"11100000", B"11100010", B"00100011", B"11010110", B"11110100",
2608
 B"11010011", B"00011110", B"00011001", B"11011011", B"00010001",
2609
 B"00100001", B"00101100", B"00100011", B"00011000", B"00000101",
2610
 B"00011000", B"00011110", B"00100111", B"00011101", B"00010111",
2611
 B"11100000", B"00010100", B"11011111", B"00100000", B"11100100",
2612
 B"00110001", B"00101000", B"11010001", B"11011001", B"00011111",
2613
 B"00100100", B"11011011", B"11100011", B"11010111", B"11101110",
2614
 B"00010011", B"11100100", B"00011100", B"11101010", B"11010101",
2615
 B"00011100", B"00011110", B"00110000", B"11101111", B"11011101",
2616
 B"00001110", B"00101100", B"11100111", B"11111010", B"11101110",
2617
 B"11100011", B"11100010", B"11100000", B"00100000", B"00101101",
2618
 B"00011111", B"00101100", B"11100000", B"00011110", B"00110000",
2619
 B"00010100", B"11100110", B"00100010", B"11011001", B"00011001",
2620
 B"11101001", B"11010110", B"00100010", B"11011001", B"00101000",
2621
 B"11011010", B"11100010", B"00101111", B"11011100", B"00011101",
2622
 B"00010010", B"11010101", B"11100110", B"11100110", B"11101100",
2623
 B"11010111", B"11011110", B"11101000", B"11011111", B"00001010",
2624
 B"00101111", B"11011110", B"00011111", B"11101000", B"11010000",
2625
 B"11100111", B"00101010", B"00100010", B"00101101", B"00100111",
2626
 B"11010000", B"11010010", B"00101010", B"11101011", B"00011110",
2627
 B"00001110", B"11100100", B"00110011", B"00100110", B"11101100",
2628
 B"00011011", B"11011101", B"11100011", B"11100010", B"11011011",
2629
 B"00010010", B"11011011", B"00011100", B"00101000", B"00011100",
2630
 B"11100110", B"00101100", B"00011100", B"11101011", B"11101111",
2631
 B"00001110", B"00011010", B"00100001", B"11100011", B"00011000",
2632
 B"00011011", B"11101101", B"11100101", B"00010011", B"11101011",
2633
 B"00100011", B"00101111", B"11011001", B"11011101", B"11011001",
2634
 B"11010111", B"11011000", B"00101001", B"11011111", B"11011100",
2635
 B"11100000", B"11011110", B"00011000", B"11010010", B"00100001",
2636
 B"11100000", B"11011111", B"00011100", B"11011100", B"00111100",
2637
 B"11101100", B"11100100", B"00011001", B"00100100", B"11001111",
2638
 B"00101000", B"11100011", B"11100001", B"11010011", B"00000111",
2639
 B"00100100", B"00100011", B"11110101", B"00100100", B"00010011",
2640
 B"11100101", B"00101111", B"00101010", B"11100111", B"00100010",
2641
 B"00101110", B"11011010", B"00100001", B"00101001", B"11010111",
2642
 B"11001110", B"00100010", B"11011010", B"00011011", B"00011101",
2643
 B"00011101", B"11101000", B"00101011", B"11011011", B"00000010",
2644
 B"11111100", B"00010010", B"00010010", B"00100110", B"00011110",
2645
 B"00100110", B"00011000", B"00011000", B"11100010", B"00010010",
2646
 B"00011111", B"11100001", B"11011001", B"11010111", B"11110001",
2647
 B"11010010", B"11100111", B"00101011", B"11101101", B"11100001",
2648
 B"11011000", B"00100000", B"00001111", B"11011011", B"00100001",
2649
 B"11101000", B"11100000", B"00101110", B"11001111", B"11100111",
2650
 B"11001100", B"11010101", B"11100100", B"11011111", B"11010110",
2651
 B"00100111", B"00010100", B"11010001", B"00011110", B"11101010",
2652
 B"00100001", B"11100100", B"00101000", B"00101000", B"11100101",
2653
 B"00100011", B"00011001", B"11011001", B"00110000", B"00010111",
2654
 B"11011000", B"11100011", B"00110001", B"00011001", B"11100110",
2655
 B"11110111", B"11100100", B"11101001", B"00011101", B"00100100",
2656
 B"00100100", B"00101001", B"11100110", B"11010001", B"00100110",
2657
 B"00010100", B"00010110", B"11100111", B"00100101", B"11011101",
2658
 B"00001111", B"11100011", B"00011011", B"11011101", B"11011111",
2659
 B"11110010", B"11101011", B"00101100", B"11011100", B"00001001",
2660
 B"00100100", B"00101000", B"00011000", B"00111001", B"00101000",
2661
 B"00111000", B"00101110", B"00010001", B"11100010", B"00010111",
2662
 B"00111001", B"11100110", B"11100010", B"11001111", B"11100010",
2663
 B"11101001", B"11011100", B"11010101", B"00101011", B"11011101",
2664
 B"00101011", B"11001110", B"11001010", B"00101011", B"00001001",
2665
 B"00100101", B"00100110", B"00010101", B"00111011", B"00100001",
2666
 B"00010110", B"00100000", B"11110000", B"11100010", B"11101100",
2667
 B"11010111", B"00101001", B"00100110", B"00100010", B"00101010",
2668
 B"00011011", B"00011010", B"11010111", B"11101010", B"00001101",
2669
 B"00100100", B"11011011", B"11100110", B"11011011", B"00100101",
2670
 B"00100100", B"00101001", B"11101111", B"00110011", B"11011000",
2671
 B"00000001", B"11100010", B"00011000", B"11100001", B"11010111",
2672
 B"11100010", B"00101001", B"00101011", B"11100010", B"00100001",
2673
 B"00010011", B"00110010", B"11011111", B"00110000", B"11100000",
2674
 B"00100110", B"11101111", B"00100111", B"11101111", B"00100010",
2675
 B"11011101", B"11101010", B"11010110", B"00100110", B"00001010",
2676
 B"00100110", B"00100111", B"00100000", B"11101101", B"00000110",
2677
 B"11010000", B"00101000", B"11011001", B"11101110", B"11101111",
2678
 B"11011011", B"00110111", B"00110010", B"11101101", B"00101110",
2679
 B"11000011", B"11100100", B"11011010", B"00010011", B"00010100",
2680
 B"00100001", B"00101111", B"11011011", B"11101010", B"00100100",
2681
 B"11000011", B"00101110", B"11010101", B"11101000", B"11100000",
2682
 B"00101010", B"00010010", B"11001101", B"11011010", B"11101110",
2683
 B"00101000", B"00100011", B"11110000", B"00011101", B"11010111",
2684
 B"00010100", B"11110110", B"11100101", B"00101101", B"11010111",
2685
 B"11101010", B"11010101", B"11100100", B"00101110", B"00100110",
2686
 B"11100000", B"11100001", B"00100001", B"00100101", B"11011111",
2687
 B"11111010", B"00001011", B"11101000", B"00100000", B"00010010",
2688
 B"11101101", B"00011010", B"00110101", B"11010011", B"00010111",
2689
 B"11101100", B"00110100", B"11100101", B"11011101", B"11011110",
2690
 B"00001010", B"00101111", B"00000001", B"11011010", B"11011001",
2691
 B"11010011", B"00000111", B"00011100", B"00100000", B"00010011",
2692
 B"00001101", B"11101111", B"11100100", B"00010111", B"11101000",
2693
 B"11011111", B"11111111", B"11100110", B"00100111", B"11100011",
2694
 B"00100011", B"11011100", B"11011111", B"11011110", B"00010000",
2695
 B"00001111", B"00101011", B"00011111", B"11011010", B"10111100",
2696
 B"00011111", B"00001110", B"11101110", B"11101111", B"11011000",
2697
 B"00010000", B"11011101", B"11011101", B"11101010", B"00001010",
2698
 B"00100110", B"11010110", B"00011001", B"11011111", B"00011001",
2699
 B"00100111", B"11110101", B"00101110", B"00100110", B"11010110",
2700
 B"11101100", B"11011101", B"11110100", B"00100101", B"00110010",
2701
 B"11100111", B"00101100", B"11100111", B"00011010", B"11111000",
2702
 B"11101100", B"00011111", B"11011010", B"11011111", B"11011100",
2703
 B"11101101", B"11010101", B"11100101", B"00011110", B"00011110",
2704
 B"00010011", B"00011010", B"11100100", B"11011001", B"11010100",
2705
 B"00100101", B"11101000", B"00010100", B"11000100", B"11101111",
2706
 B"00001011", B"00100000", B"00011100", B"11100001", B"11011000",
2707
 B"11001011", B"11011010", B"00101001", B"11011011", B"00101011",
2708
 B"11011100", B"11011101", B"11010111", B"11010111", B"00110100",
2709
 B"00011000", B"00001111", B"00011000", B"11100011", B"11101011",
2710
 B"00100111", B"00100111", B"00100001", B"00110000", B"11001100",
2711
 B"11100000", B"00100100", B"00100100", B"11100100", B"11101101",
2712
 B"00011011", B"00011100", B"11011010", B"11001101", B"11010011",
2713
 B"00101011", B"11100001", B"11110010", B"11001001", B"00101011",
2714
 B"00111011", B"11100010", B"11010111", B"11010110", B"00100001",
2715
 B"11110000", B"00011100", B"11100010", B"11010000", B"00100100",
2716
 B"11011001", B"11100011", B"00110010", B"11011010", B"00011111",
2717
 B"11100010", B"11100110", B"00010110", B"11011001", B"00010100",
2718
 B"11001100", B"11110010", B"11010111", B"00001110", B"00011111",
2719
 B"11011100", B"11100100", B"00011110", B"11110010", B"11110001",
2720
 B"11010111", B"00100111", B"00100011", B"11101001", B"11011110",
2721
 B"11011011", B"00100000", B"00010011", B"00110000", B"00101011",
2722
 B"11010111", B"11011011", B"00101010", B"11110100", B"00101110",
2723
 B"11010100", B"11011010", B"11010110", B"00011011", B"00110010",
2724
 B"00101000", B"00001100", B"00010011", B"11011100", B"00100101",
2725
 B"11010111", B"00100001", B"11101010", B"11110010", B"00010000",
2726
 B"00010010", B"00010110", B"11000110", B"00011010", B"11100101",
2727
 B"00100111", B"11111000", B"11100100", B"00011100", B"11010010",
2728
 B"00011010", B"11101001", B"11100110", B"00011100", B"11010100",
2729
 B"11100011", B"00110001", B"00100011", B"00011100", B"00100000",
2730
 B"11011010", B"11111001", B"11010111", B"11011111", B"00011110",
2731
 B"00110111", B"00100010", B"00100110", B"11011101", B"11011101",
2732
 B"00011011", B"00100101", B"00010001", B"11011110", B"00100100",
2733
 B"11100010", B"00010001", B"11110111", B"11111011", B"11100011",
2734
 B"00110000", B"11101011", B"00010100", B"11001001", B"11100010",
2735
 B"00001010", B"11010110", B"11100010", B"11101000", B"00111000",
2736
 B"00100000", B"11011101", B"00011011", B"11100110", B"00001010",
2737
 B"11110000", B"00010101", B"11010111", B"11011001", B"11011001",
2738
 B"00100000", B"00110001", B"00100011", B"11010111", B"11100100",
2739
 B"00100110", B"11100001", B"11101010", B"11110101", B"11100010",
2740
 B"00100011", B"00100110", B"10111111", B"00100110", B"00100111",
2741
 B"11010100", B"11101101", B"00101000", B"11001100", B"00100010",
2742
 B"00101101", B"00011001", B"11100000", B"00011110", B"11010110",
2743
 B"00101111", B"11011000", B"11110000", B"00011110", B"00011101",
2744
 B"00100010", B"00100101", B"11100101", B"11011011", B"11100111",
2745
 B"11100010", B"00100011", B"11001111", B"00001110", B"11011001",
2746
 B"11011000", B"00101111", B"00001110", B"11101110", B"00011001",
2747
 B"11010010", B"11011100", B"11100000", B"00100010", B"00011100",
2748
 B"11101011", B"00010011", B"00100011", B"11011100", B"11101000",
2749
 B"11101000", B"11100001", B"11100101", B"00001111", B"11110011",
2750
 B"11100100", B"00100111", B"11101010", B"11011111", B"11010000",
2751
 B"11100110", B"11100000", B"00100111", B"00010001", B"00100010",
2752
 B"11100001", B"00011111", B"11100101", B"00100100", B"11100001",
2753
 B"00101100", B"11010110", B"11010001", B"11100000", B"00011000",
2754
 B"00101010", B"11011101", B"00011001", B"11011101", B"00000111",
2755
 B"00101100", B"11100001", B"00110010", B"00101000", B"11100110",
2756
 B"00011110", B"00000110", B"00110010", B"11011111", B"00001101",
2757
 B"11001001", B"00101000", B"11110000", B"00010100", B"11100111",
2758
 B"00001011", B"00011001", B"11101001", B"00101100", B"00101001",
2759
 B"11100011", B"11010010", B"11100111", B"11111011", B"11011001",
2760
 B"00101110", B"00101011", B"00010110", B"00110010", B"11000001",
2761
 B"11011011", B"11100010", B"00100001", B"00100100", B"11100101",
2762
 B"00110001", B"11100110", B"11011010", B"00010100", B"00101000",
2763
 B"00100101", B"11100110", B"00011111", B"11110001", B"00100110",
2764
 B"11100100", B"00101010", B"00110101", B"00010110", B"11010001",
2765
 B"00001111", B"11100010", B"00101110", B"00100010", B"00011011",
2766
 B"00110111", B"00101111", B"00001100", B"00100100", B"00011011",
2767
 B"00011001", B"00100001", B"00001100", B"00011100", B"11011001",
2768
 B"00100101", B"11000110", B"00010001", B"11011100", B"11010010",
2769
 B"11100001", B"00010011", B"00101010", B"00011011", B"00100001",
2770
 B"10111111", B"11001111", B"11110001", B"11101011", B"00011111",
2771
 B"00011011", B"00100011", B"00001111", B"11010101", B"11011000",
2772
 B"00011010", B"11100001", B"00101000", B"11010000", B"11101101",
2773
 B"11011100", B"00011101", B"00100010", B"11100111", B"00100101",
2774
 B"00100010", B"11100011", B"11100100", B"11001111", B"11111011",
2775
 B"11011101", B"11010001", B"00100100", B"00001100", B"11100010",
2776
 B"11010001", B"11101100", B"11100110", B"11010111", B"11011100",
2777
 B"11101011", B"00110001", B"11101010", B"11111100", B"11011001",
2778
 B"11011100", B"00110111", B"00101001", B"00101010", B"00010010",
2779
 B"00111010", B"00100000", B"00100000", B"00100000", B"00011110",
2780
 B"00111001", B"00010110", B"11010011", B"00100111", B"00101101",
2781
 B"11011011", B"11010001", B"00101010", B"11100101", B"00001111",
2782
 B"00100010", B"11010101", B"11011111", B"11100111", B"11010001",
2783
 B"11100001", B"00010001", B"00101111", B"00010010", B"11010001",
2784
 B"00001001", B"11101010", B"00011110", B"11001100", B"11101101",
2785
 B"00100110", B"00010101", B"11101011", B"11011011", B"11001100",
2786
 B"11011100", B"11110000", B"00011011", B"11011100", B"11011110",
2787
 B"11100110", B"11011011", B"00100011", B"11001011", B"00001011",
2788
 B"11010100", B"11010001", B"11011111", B"11011111", B"00011110",
2789
 B"00011111", B"00011111", B"00001110", B"11011010", B"11101011",
2790
 B"00010111", B"11011011", B"00100110", B"11100101", B"11011010",
2791
 B"00011111", B"00101001", B"00011101", B"00101110", B"11100100",
2792
 B"00011000", B"11100010", B"00001011", B"11010110", B"00011101",
2793
 B"00101000", B"11010011", B"00011011", B"00011101", B"11100111",
2794
 B"11011001", B"00100000", B"00011000", B"00101111", B"11010100",
2795
 B"11100010", B"00010100", B"00101010", B"11100110", B"11010100",
2796
 B"00011101", B"00100010", B"11101000", B"00011010", B"00100101",
2797
 B"11101000", B"11101011", B"00011011", B"00101010", B"00100000",
2798
 B"00011011", B"11011010", B"00100110", B"11011101", B"00101001",
2799
 B"11100000", B"00100000", B"11100111", B"11010111", B"00001010",
2800
 B"11101010", B"11100001", B"11100011", B"11101011", B"11101011",
2801
 B"11101011", B"11110000", B"11100101", B"00011001", B"00011011",
2802
 B"00011111", B"00101011", B"00101010", B"00011000", B"11101101",
2803
 B"00001111", B"00100101", B"11101100", B"11110010", B"00001110",
2804
 B"00011111", B"00100101", B"00101100", B"11011000", B"00001100",
2805
 B"11011000", B"00001111", B"11100000", B"11011111", B"00101010",
2806
 B"00010011", B"00100011", B"11100101", B"00100101", B"11110010",
2807
 B"00011010", B"11100100", B"00101001", B"11011111", B"11001111",
2808
 B"11011000", B"00011011", B"00000110", B"11011100", B"11101101",
2809
 B"00100010", B"11011110", B"00100010", B"11100100", B"11100010",
2810
 B"00101000", B"00101001", B"00100011", B"11101100", B"00100011",
2811
 B"00110000", B"11001000", B"00110010", B"00010000", B"11110001",
2812
 B"11100011", B"00011101", B"00011110", B"11011101", B"11100100",
2813
 B"11110001", B"11011101", B"11011101", B"00100011", B"11010001",
2814
 B"00111001", B"00101000", B"11100001", B"00011000", B"00100010",
2815
 B"11100000", B"11011001", B"11101101", B"11100100", B"00100000",
2816
 B"00100101", B"11101000", B"00100001", B"11100101", B"11011000",
2817
 B"00101011", B"11100100", B"00010111", B"11010101", B"11100101",
2818
 B"00110100", B"00010000", B"11000101", B"00001111", B"00011000",
2819
 B"00110011", B"11101111", B"00100000", B"11110100", B"00101100",
2820
 B"11101010", B"11100000", B"00101000", B"00011100", B"00010100",
2821
 B"00010111", B"11010001", B"11110010", B"00011000", B"00101000",
2822
 B"11100011", B"00101111", B"00101111", B"11100010", B"11110001",
2823
 B"00101011", B"11111100", B"11100011", B"00100110", B"00110001",
2824
 B"00001010", B"00010001", B"11010010", B"11100101", B"00100110",
2825
 B"11011000", B"00011010", B"11101001", B"11100001", B"11100100",
2826
 B"00010010", B"00101000", B"11011101", B"11011010", B"00100111",
2827
 B"00100100", B"00010101", B"00100011", B"11101000", B"11001110",
2828
 B"11011001", B"11010011", B"11110001", B"11100110", B"00011111",
2829
 B"00110010", B"00101011", B"00011000", B"00100001", B"11011011",
2830
 B"00101111", B"00011000", B"11010001", B"00011000", B"00100111",
2831
 B"11010100", B"11010111", B"00111101", B"11011100", B"11101001",
2832
 B"11101101", B"00100100", B"00010110", B"11101001", B"00011110",
2833
 B"00011110", B"00100101", B"00001111", B"00100001", B"00011111",
2834
 B"00001010", B"00100010", B"00011000", B"11011010", B"00011011",
2835
 B"11011101", B"11101000", B"11010011", B"00011011", B"00101110",
2836
 B"00100011", B"11010000", B"11011000", B"11010011", B"11011011",
2837
 B"00110111", B"11010101", B"00100110", B"00011110", B"00010011",
2838
 B"00100000", B"11011111", B"00110000", B"11010101", B"00110001",
2839
 B"11110000", B"00101001", B"11011001", B"11100011", B"00110001",
2840
 B"11011100", B"11110000", B"11011111", B"11011100", B"00001111",
2841
 B"11101000", B"00100001", B"11011000", B"11100000", B"11100101",
2842
 B"00010110", B"00101100", B"00101001", B"11010001", B"11100010",
2843
 B"00100010", B"11101110", B"11011011", B"11011000", B"11011101",
2844
 B"11011011", B"00011001", B"11010100", B"11110100", B"11100010",
2845
 B"00101001", B"00101000", B"11100101", B"11011100", B"11100011",
2846
 B"11010111", B"11110011", B"00010010", B"00100000", B"00010010",
2847
 B"00101110", B"11100101", B"11010110", B"00010011", B"11110100",
2848
 B"00100100", B"11101110", B"11100000", B"00011011", B"00011100",
2849
 B"11101011", B"11101011", B"11100001", B"11110011", B"00100000",
2850
 B"11111100", B"00100111", B"11111011", B"00011111", B"11100110",
2851
 B"00001111", B"11101000", B"11011011", B"00101111", B"00111100",
2852
 B"00100110", B"11101000", B"11011010", B"00011100", B"11100010",
2853
 B"11101110", B"11010010", B"11100011", B"00011001", B"00011101",
2854
 B"11010001", B"11100011", B"00001110", B"00100000", B"11101001",
2855
 B"11001101", B"00010101", B"00110001", B"00011100", B"00010000",
2856
 B"00101100", B"00010111", B"00100011", B"00010110", B"00010101",
2857
 B"00100111", B"00100111", B"11101111", B"00010001", B"11101001",
2858
 B"00010101", B"11010010", B"00100000", B"11101001", B"00100100",
2859
 B"11011111", B"11011001", B"11100001", B"00101110", B"00011010",
2860
 B"11100101", B"00001101", B"01000000", B"11100101", B"11011010",
2861
 B"11011111", B"11100101", B"11011011", B"11001100", B"00011110",
2862
 B"00100010", B"11100011", B"11101100", B"11011010", B"11011001",
2863
 B"11101001", B"11101000", B"00100000", B"00101011", B"11011011",
2864
 B"11110001", B"10111001", B"11100000", B"11100000", B"00100000",
2865
 B"00011110", B"00011100", B"11100111", B"00010100", B"11101010",
2866
 B"00010100", B"11011101", B"11110111", B"11101001", B"00011101",
2867
 B"00011010", B"00011001", B"00010011", B"11101000", B"11100111",
2868
 B"11100111", B"00101010", B"11010110", B"00011110", B"11100110",
2869
 B"11100110", B"00110001", B"00011110", B"00110110", B"11101001",
2870
 B"11011100", B"00110010", B"11011000", B"11001111", B"11100010",
2871
 B"11100010", B"00100001", B"00010111", B"11010111", B"11100101",
2872
 B"00011001", B"00110101", B"11011000", B"11001110", B"11001101",
2873
 B"11011101", B"11100000", B"11001111", B"00101000", B"00010101",
2874
 B"00010011", B"00011101", B"00010111", B"00011101", B"11011011",
2875
 B"00010111", B"00110000", B"11101111", B"11010101", B"00100000",
2876
 B"11010010", B"00011111", B"00100010", B"11010011", B"11100110",
2877
 B"11100100", B"11101001", B"11011101", B"11010100", B"11100100",
2878
 B"11011011", B"00001110", B"00100000", B"11100001", B"00101110",
2879
 B"11011011", B"11010001", B"11100110", B"11011001", B"11011111",
2880
 B"00100010", B"00011011", B"00100101", B"00100011", B"00101000",
2881
 B"00100000", B"00101110", B"00011001", B"00100100", B"00100110",
2882
 B"00110111", B"00010100", B"11100001", B"00010011", B"11100000",
2883
 B"11011000", B"11011001", B"00011000", B"00110001", B"11100001",
2884
 B"00010011", B"11010100", B"11110000", B"00011000", B"11010110",
2885
 B"11011111", B"11010110", B"11100010", B"11100010", B"00101010",
2886
 B"00100011", B"11011000", B"00000010", B"11010101", B"11011001",
2887
 B"11000011", B"11011101", B"11100000", B"00110011", B"11101010",
2888
 B"00100110", B"11100001", B"11010010", B"00101110", B"00010011",
2889
 B"00010011", B"11101001", B"11011001", B"00011000", B"00100001",
2890
 B"11011010", B"11110010", B"00010111", B"00011110", B"11100100",
2891
 B"00011001", B"00101001", B"11101000", B"11110010", B"00011001",
2892
 B"11011001", B"11000000", B"00101001", B"00010101", B"00010011",
2893
 B"00100010", B"11101001", B"11101000", B"11101111", B"11011010",
2894
 B"00011100", B"11011111", B"00101100", B"11011101", B"11010111",
2895
 B"00100101", B"11101000", B"00111001", B"00100100", B"00010111",
2896
 B"11100101", B"00100100", B"11011011", B"00011001", B"00101011",
2897
 B"00010100", B"00101010", B"11100100", B"00101000", B"11101100",
2898
 B"00011111", B"11100110", B"00011100", B"00011011", B"11101010",
2899
 B"11011010", B"00110110", B"00011001", B"11101101", B"11100101",
2900
 B"00100010", B"11100101", B"00100011", B"11010100", B"11100010",
2901
 B"11011010", B"00100110", B"00100001", B"00010101", B"11110100",
2902
 B"11100100", B"00101101", B"11010110", B"11011111", B"11101000",
2903
 B"11111100", B"11100001", B"00011111", B"00010101", B"11010001",
2904
 B"11100001", B"11101101", B"11100011", B"11001111", B"00011000",
2905
 B"11011110", B"11101001", B"11011100", B"11010011", B"00001001",
2906
 B"11011100", B"00010111", B"11011101", B"00100110", B"11100110",
2907
 B"00010100", B"11101010", B"11011010", B"00010101", B"00100110",
2908
 B"00011110", B"00101011", B"11011101", B"00011011", B"00011111",
2909
 B"11011110", B"11100010", B"00100001", B"11011101", B"00100010",
2910
 B"00010110", B"00100100", B"11000011", B"00101100", B"11100000",
2911
 B"00011110", B"00101110", B"11010110", B"00011011", B"00011110",
2912
 B"11101001", B"00001010", B"00101101", B"11100011", B"11011101",
2913
 B"11011000", B"11100011", B"11100100", B"00110000", B"00011011",
2914
 B"00100001", B"00101001", B"00100010", B"00100111", B"11100111",
2915
 B"00100110", B"00010110", B"11101011", B"11010111", B"00010011",
2916
 B"00100000", B"00100001", B"00011101", B"00100110", B"00001101",
2917
 B"01000100", B"00001100", B"00011011", B"00011111", B"00011110",
2918
 B"11100100", B"11100100", B"00110001", B"00011101", B"11101010",
2919
 B"11101101", B"11110000", B"00101101", B"11011010", B"00010100",
2920
 B"11101100", B"11011000", B"00011111", B"00010100", B"11110100",
2921
 B"00101000", B"11011000", B"00100000", B"11011101", B"11011001",
2922
 B"00011100", B"00100101", B"11100001", B"11100001", B"00010011",
2923
 B"11010101", B"00100101", B"11010011", B"11100110", B"00010100",
2924
 B"00010111", B"11010011", B"11010100", B"11101101", B"11011001",
2925
 B"00100101", B"11100100", B"00101111", B"11011100", B"00011001",
2926
 B"11100000", B"11110000", B"11010101", B"00100010", B"00110100",
2927
 B"11010100", B"00100001", B"00100000", B"11100001", B"11100110",
2928
 B"00011001", B"00011011", B"11100100", B"11011100", B"00101001",
2929
 B"11100100", B"00111011", B"00001111", B"11010011", B"00100110",
2930
 B"00100100", B"11011000", B"11101010", B"11110000", B"11011110",
2931
 B"11011000", B"00011110", B"00101100", B"00100011", B"00011000",
2932
 B"11010100", B"11100101", B"11100100", B"00100100", B"00011010",
2933
 B"11110010", B"00011110", B"11100000", B"00010000", B"11111100",
2934
 B"11011010", B"11100010", B"11100111", B"00100010", B"11100000",
2935
 B"00101001", B"00101100", B"11011001", B"11011011", B"11101101",
2936
 B"11101010", B"00100001", B"11010111", B"00100010", B"00100101",
2937
 B"00001101", B"11100011", B"00010010", B"00001011", B"11100001",
2938
 B"11101001", B"00110011", B"00100111", B"00010110", B"11011100",
2939
 B"11010011", B"00011100", B"00110011", B"11010101", B"11011101",
2940
 B"00010011", B"11101000", B"11011110", B"11011010", B"11100111",
2941
 B"00011101", B"11100101", B"00000101", B"11100011", B"10111100",
2942
 B"00101101", B"00110000", B"00010110", B"00101010", B"11100011",
2943
 B"11110101", B"00101110", B"00100101", B"11011101", B"00100101",
2944
 B"00011001", B"11010100", B"11011011", B"00101110", B"00100110",
2945
 B"11110001", B"00101001", B"11010111", B"11010010", B"11001100",
2946
 B"00011111", B"00100100", B"11100010", B"00001100", B"11011101",
2947
 B"00011000", B"11110101", B"11110001", B"00011111", B"00011010",
2948
 B"00100000", B"11001011", B"11011110", B"00010110", B"11010111",
2949
 B"11010110", B"11100100", B"11010111", B"11011100", B"11100010",
2950
 B"11011110", B"11010100", B"00010000", B"00010101", B"00100100",
2951
 B"00011110", B"11011001", B"11101100", B"00100011", B"11011110",
2952
 B"00101000", B"11011000", B"11100101", B"00100111", B"00101000",
2953
 B"11100100", B"00011101", B"11100000", B"11110010", B"11001111",
2954
 B"00100010", B"00010101", B"11101110", B"11011011", B"00101100",
2955
 B"00011111", B"00100101", B"00011110", B"11011010", B"11011110",
2956
 B"11011001", B"00011111", B"11011111", B"11001011", B"11100111",
2957
 B"00011100", B"00001111", B"11001110", B"11011000", B"00011101",
2958
 B"00001010", B"11011010", B"11010111", B"00101000", B"00101001",
2959
 B"11100010", B"11101100", B"00011010", B"11100110", B"11010010",
2960
 B"11011011", B"00100011", B"00110101", B"11100101", B"11100000",
2961
 B"11011001", B"11110010", B"00101111", B"00011011", B"11001111",
2962
 B"00010100", B"11101011", B"00011111", B"11100000", B"00100000",
2963
 B"00100011", B"11110111", B"00010110", B"00100001", B"11011001",
2964
 B"00100001", B"11100010", B"11101000", B"11000110", B"11100110",
2965
 B"00101100", B"11010111", B"00000111", B"00100101", B"00100111",
2966
 B"11101001", B"00110011", B"00010111", B"11010001", B"11100010",
2967
 B"00011111", B"11010011", B"11100010", B"00100010", B"00010100",
2968
 B"00100001", B"00011001", B"11010110", B"11011010", B"11101110",
2969
 B"11100001", B"00011100", B"11100110", B"00011101", B"11100011",
2970
 B"11100011", B"00010011", B"11011000", B"00101100", B"00000101",
2971
 B"00100011", B"11011101", B"00101100", B"11100001", B"00010010",
2972
 B"00100000", B"00101110", B"00011011", B"00100110", B"00010100",
2973
 B"00100011", B"00011000", B"00100001", B"00101100", B"11101000",
2974
 B"11010101", B"00011111", B"11011010", B"11100111", B"11100010",
2975
 B"11001001", B"11011110", B"00010011", B"11101000", B"11101110",
2976
 B"11011100", B"00000001", B"00010011", B"11101000", B"00011001",
2977
 B"00011111", B"11011000", B"11101011", B"00011111", B"00001100",
2978
 B"11000011", B"11111001", B"00100011", B"00011011", B"00101000",
2979
 B"11011100", B"00011000", B"11011101", B"00110010", B"11011001",
2980
 B"11010011", B"11101000", B"11110001", B"11010111", B"00101010",
2981
 B"00010000", B"00100001", B"00101111", B"00011011", B"00100010",
2982
 B"11100010", B"00100011", B"00101110", B"11101101", B"11100100",
2983
 B"00011000", B"11100111", B"11101010", B"00011001", B"11101110",
2984
 B"00110010", B"11111111", B"11101010", B"00111000", B"00100000",
2985
 B"00001110", B"00010111", B"11101000", B"00011111", B"11001000",
2986
 B"00011111", B"11011001", B"00010010", B"11001111", B"00110001",
2987
 B"11001111", B"11100101", B"11011010", B"00101111", B"00011111",
2988
 B"00011110", B"11101010", B"11010111", B"11111001", B"10111110",
2989
 B"00100111", B"11101000", B"00010000", B"11011111", B"00010110",
2990
 B"00010111", B"00100000", B"11010010", B"00010101", B"11100001",
2991
 B"00001101", B"11001001", B"00100011", B"11100010", B"00010011",
2992
 B"11000100", B"11010010", B"00100100", B"00110001", B"00010000",
2993
 B"01000010", B"00010110", B"00001101", B"00100110", B"00110000",
2994
 B"00001110", B"00100000", B"11101101", B"11110011", B"11100000",
2995
 B"00100010", B"01000001", B"11011001", B"00011000", B"11011000",
2996
 B"11011110", B"11011001", B"11101110", B"11010101", B"00100001",
2997
 B"00100010", B"00101100", B"00011111", B"11011001", B"11100111",
2998
 B"11100101", B"11101011", B"00110001", B"00011001", B"00101100",
2999
 B"00011001", B"11001010", B"11011001", B"11011100", B"11100000",
3000
 B"00010101", B"00110010", B"00011100", B"00101010", B"11010110",
3001
 B"00011011", B"11010011", B"11011111", B"11100101", B"00010100",
3002
 B"00010100", B"11100001", B"11001111", B"11011011", B"11100010",
3003
 B"00010000", B"00100101", B"11101000", B"00100010", B"11011101",
3004
 B"11110110", B"00011100", B"11100001", B"11110000", B"11100100",
3005
 B"00011101", B"00000110", B"11101111", B"11011000", B"11100111",
3006
 B"11110000", B"11100101", B"00000111", B"00011101", B"00010101",
3007
 B"00010111", B"00001111", B"00100110", B"00011011", B"11100101",
3008
 B"00011001", B"11100000", B"00011101", B"11100000", B"11100101",
3009
 B"00111000", B"00011001", B"11101001", B"11011111", B"11100011",
3010
 B"11010111", B"11010111", B"00011111", B"11110110", B"00011011",
3011
 B"11101101", B"11101100", B"11011001", B"00100111", B"00100100",
3012
 B"00101111", B"00011100", B"11100101", B"11010010", B"00101111",
3013
 B"00010001", B"11101011", B"11000111", B"00010000", B"00010110",
3014
 B"00011011", B"11011011", B"00101110", B"11011011", B"00010100",
3015
 B"11011101", B"00101010", B"11011111", B"11101100", B"00011111",
3016
 B"11011010", B"11011100", B"11011111", B"11100100", B"11100101",
3017
 B"00100001", B"00000001", B"11101101", B"11011111", B"11110010",
3018
 B"11101100", B"11100111", B"00101001", B"00101101", B"11010001",
3019
 B"00001010", B"00011001", B"11011000", B"11001101", B"00010010",
3020
 B"00100000", B"11011101", B"11011110", B"11010100", B"11100011",
3021
 B"00011010", B"11100010", B"00101100", B"11001100", B"11100010",
3022
 B"11101111", B"11001111", B"00100101", B"00100111", B"00011101",
3023
 B"00011101", B"11011011", B"11010011", B"00100010", B"11011101",
3024
 B"00100001", B"11110110", B"11011110", B"00100010", B"11100101",
3025
 B"11101011", B"11011010", B"00101011", B"00101000", B"11100001",
3026
 B"00100100", B"11001111", B"00011001", B"11011100", B"11010111",
3027
 B"00001110", B"11111000", B"11011000", B"11101100", B"11010010",
3028
 B"11100011", B"00100111", B"11011100", B"00010100", B"11101000",
3029
 B"11100010", B"00100111", B"00101000", B"11011100", B"11010011",
3030
 B"11101011", B"00011000", B"00110110", B"11101011", B"00110011",
3031
 B"11100100", B"11101101", B"11101001", B"00011001", B"00100001",
3032
 B"00010100", B"00101010", B"11100101", B"11100111", B"11011010",
3033
 B"11100000", B"00100011", B"00011010", B"00001101", B"00001100",
3034
 B"11010000", B"11100010", B"11100111", B"11100010", B"11001111",
3035
 B"00100110", B"00100001", B"11101100", B"00011000", B"11110010",
3036
 B"11110000", B"11101001", B"11100100", B"11010101", B"00011111",
3037
 B"00010110", B"00011111", B"00100000", B"00010101", B"00100100",
3038
 B"00101111", B"11011001", B"00001110", B"11011010", B"00101001",
3039
 B"11101010", B"11101000", B"00100100", B"11011000", B"00100000",
3040
 B"11011011", B"11011101", B"00101111", B"00111010", B"00100111",
3041
 B"11100011", B"11110101", B"11010110", B"11100001", B"00011000",
3042
 B"11001111", B"00010011", B"00100101", B"11100010", B"11001111",
3043
 B"11101001", B"11000101", B"00011011", B"11010011", B"00011101",
3044
 B"11011010", B"00100111", B"11011011", B"11100011", B"11100111",
3045
 B"00101111", B"00001011", B"11001111", B"11100010", B"00011110",
3046
 B"00011100", B"00010000", B"11100011", B"00011111", B"11010111",
3047
 B"00011011", B"11101010", B"00100010", B"11001101", B"11011110",
3048
 B"11100111", B"00101000", B"00010111", B"11011111", B"00101101",
3049
 B"00011011", B"00101011", B"00101110", B"00100000", B"00100011",
3050
 B"00110100", B"00010001", B"00011110", B"00001111", B"11101100",
3051
 B"11010000", B"00100101", B"00010101", B"11011011", B"11101010",
3052
 B"00010001", B"11011111", B"00010111", B"00010110", B"11001100",
3053
 B"00010011", B"00110010", B"11011001", B"11010100", B"00100111",
3054
 B"00101010", B"11100111", B"11011101", B"11110100", B"11100010",
3055
 B"11101000", B"00100100", B"11011111", B"11100001", B"00011110",
3056
 B"11100100", B"11100000", B"11010101", B"11100111", B"00101000",
3057
 B"00011011", B"11101001", B"00101111", B"00110011", B"00001001",
3058
 B"11010111", B"00011101", B"11010101", B"00110101", B"00010100",
3059
 B"00100101", B"11010001", B"00100000", B"11011111", B"00101001",
3060
 B"00101100", B"00010010", B"00011111", B"11111001", B"00100011",
3061
 B"11101001", B"00010010", B"11001100", B"11100010", B"11101010",
3062
 B"00100111", B"11010001", B"00100011", B"11010000", B"11010100",
3063
 B"00100111", B"11100111", B"11011001", B"00110000", B"11010010",
3064
 B"00011000", B"11100100", B"11100101", B"00100100", B"11001110",
3065
 B"00100101", B"11011101", B"01000000", B"11100010", B"11100110",
3066
 B"00100001", B"00011001", B"00101010", B"11111110", B"00100100",
3067
 B"11100101", B"00011010", B"11011101", B"00101110", B"11011101",
3068
 B"11100101", B"11100100", B"00100000", B"00100101", B"00101100",
3069
 B"00101101", B"11001011", B"11011100", B"00101100", B"00100100",
3070
 B"00010111", B"00010100", B"00100010", B"00110000", B"00000000",
3071
 B"00011100", B"11100010", B"11001111", B"00101110", B"11011110",
3072
 B"00101011", B"11001011", B"11111000", B"00100001", B"00011000",
3073
 B"00011111", B"11101000", B"00100000", B"00110110", B"11000010",
3074
 B"11101101", B"00011011", B"11110000", B"11010101", B"11110010",
3075
 B"11100001", B"00101111", B"00100100", B"00101101", B"00110001",
3076
 B"11010010", B"00100100", B"00010100", B"11100011", B"11010111",
3077
 B"11100111", B"11001101", B"11010000", B"11010001", B"00000100",
3078
 B"00011100", B"00101101", B"11001111", B"00010011", B"11011010",
3079
 B"00010011", B"00100101", B"11101001", B"00011010", B"00111001",
3080
 B"11101100", B"00101011", B"00011001", B"11101011", B"11011000",
3081
 B"11100110", B"11011001", B"11001111", B"00101101", B"00100001",
3082
 B"00001010", B"00011111", B"00100101", B"11011100", B"11101000",
3083
 B"11010111", B"11011101", B"00100000", B"11101101", B"00011101",
3084
 B"11011001", B"00100111", B"11101001", B"11100100", B"11100110",
3085
 B"00011001", B"00100010", B"11100110", B"00101001", B"11011010",
3086
 B"11011111", B"00011001", B"11001011", B"11010110", B"11010001",
3087
 B"11011101", B"00011101", B"00110011", B"00101000", B"00110010",
3088
 B"00110001", B"00010100", B"00011011", B"00100110", B"00101101",
3089
 B"00110010", B"00010000", B"11110010", B"00101011", B"11010100",
3090
 B"00010000", B"11011010", B"00101101", B"00010101", B"11010101",
3091
 B"00110010", B"00100000", B"11100001", B"11011111", B"00110101",
3092
 B"11011111", B"11101101", B"00011001", B"11100000", B"00010110",
3093
 B"11010001", B"11000101", B"00100111", B"00010010", B"11100010",
3094
 B"11110100", B"11111011", B"11100110", B"11010111", B"11011110",
3095
 B"11101000", B"00011011", B"11010100", B"11110010", B"00010000",
3096
 B"11011101", B"11100011", B"11100101", B"11100000", B"00011100",
3097
 B"11100010", B"00011110", B"11100111", B"11100100", B"11011100",
3098
 B"00110111", B"00011100", B"11111011", B"11100110", B"11010000",
3099
 B"11010100", B"00100000", B"00100000", B"00101111", B"00100001",
3100
 B"00100111", B"00011010", B"11011101", B"11100100", B"00010000",
3101
 B"00110000", B"11111001", B"11101010", B"11011111", B"11011100",
3102
 B"11010110", B"00100100", B"00011000", B"11100000", B"00110111",
3103
 B"11100110", B"00011011", B"11011011", B"00100001", B"11011101",
3104
 B"11011000", B"11100101", B"00101010", B"00011001", B"11101010",
3105
 B"00011000", B"11101010", B"00101101", B"11100001", B"11100100",
3106
 B"00100100", B"00010111", B"11011001", B"11111111", B"00001110",
3107
 B"00100001", B"00111011", B"00101111", B"11100000", B"11100000",
3108
 B"00001011", B"11010110", B"00011001", B"11010010", B"11011010",
3109
 B"11101011", B"00001010", B"00011111", B"11011110", B"11100001",
3110
 B"00110001", B"00011011", B"00100111", B"00101001", B"11100101",
3111
 B"11011111", B"00010111", B"11010011", B"11100001", B"00100010",
3112
 B"11011101", B"11011001", B"11010001", B"11010100", B"00001100",
3113
 B"00011010", B"11101001", B"00010011", B"00011111", B"11101001",
3114
 B"11010111", B"00001100", B"00101101", B"00101111", B"00100111",
3115
 B"11101000", B"00101001", B"11010110", B"00101110", B"11010010",
3116
 B"00001110", B"00101010", B"00101101", B"00011010", B"00100101",
3117
 B"00010010", B"00100111", B"00100101", B"11011000", B"11100100",
3118
 B"01000111", B"11011111", B"00001011", B"11010000", B"11001100",
3119
 B"00010100", B"11101010", B"00100111", B"00101001", B"11100100",
3120
 B"11011100", B"11001111", B"11001101", B"11101001", B"00101110",
3121
 B"00001100", B"00100111", B"11101100", B"00011000", B"11011011",
3122
 B"00100000", B"11100111", B"00101000", B"11011111", B"00111010",
3123
 B"00110101", B"11110100", B"00100010", B"00001110", B"11001010",
3124
 B"11100011", B"11101101", B"11100110", B"00010011", B"00010111",
3125
 B"11010111", B"00011110", B"11001111", B"11001010", B"00101001",
3126
 B"00101000", B"00011100", B"11000101", B"00011101", B"11100100",
3127
 B"00010111", B"00011001", B"11101010", B"11100001", B"00100011",
3128
 B"11100010", B"11100101", B"11101001", B"11010010", B"11010001",
3129
 B"11011110", B"00100100", B"00010110", B"00100001", B"00011100",
3130
 B"11010101", B"11011011", B"11010101", B"00100001", B"00101010",
3131
 B"00010110", B"11011110", B"00101111", B"11011111", B"00010011",
3132
 B"11110010", B"11100010", B"00011011", B"00011101", B"00011111",
3133
 B"00100010", B"11010101", B"11011111", B"00101111", B"11101001",
3134
 B"11100100", B"11100100", B"11100100", B"00101101", B"11101010",
3135
 B"00011101", B"11101101", B"00101001", B"00101011", B"11011011",
3136
 B"11101000", B"11101010", B"11011101", B"11011011", B"11010101",
3137
 B"00011000", B"00111000", B"11100110", B"11001011", B"11010110",
3138
 B"11101001", B"11011100", B"00011001", B"11100001", B"11010000",
3139
 B"00100001", B"11110101", B"11111000", B"11011100", B"11011010",
3140
 B"11100011", B"00010110", B"11100011", B"00010000", B"11101001",
3141
 B"11100100", B"00101000", B"00011001", B"00011011", B"00011111",
3142
 B"00011111", B"11011101", B"00100011", B"11001011", B"00100000",
3143
 B"11100010", B"00010011", B"11100011", B"11010011", B"00010110",
3144
 B"11100000", B"11101010", B"11011010", B"11101111", B"00110011",
3145
 B"00010001", B"00011000", B"11100111", B"00100001", B"11010100",
3146
 B"00101010", B"11100010", B"00100010", B"11010011", B"00101100",
3147
 B"11101101", B"11100110", B"11010110", B"00001110", B"00101101",
3148
 B"11011011", B"00011011", B"00100010", B"00110100", B"11000010",
3149
 B"00100001", B"11100001", B"00001100", B"00010100", B"11010100",
3150
 B"00011011", B"11100101", B"11011100", B"11011101", B"00011000",
3151
 B"00110110", B"00101010", B"11011110", B"00100111", B"11110101",
3152
 B"11011110", B"11101010", B"00101101", B"00100110", B"00010011",
3153
 B"11100111", B"11000111", B"11101110", B"11101001", B"00010100",
3154
 B"11101001", B"00011001", B"00100010", B"00100000", B"00100011",
3155
 B"00101000", B"00101010", B"00101101", B"00010010", B"00010111",
3156
 B"00101100", B"11101010", B"11101110", B"11011101", B"11010010",
3157
 B"00100101", B"11100110", B"00010110", B"11101100", B"11011111",
3158
 B"00110010", B"11010001", B"00011001", B"11011011", B"11100001",
3159
 B"00100101", B"00011001", B"00011101", B"11101000", B"00100011",
3160
 B"00100011", B"11101100", B"11110000", B"00100101", B"00101000",
3161
 B"00011000", B"00101000", B"11100010", B"00101101", B"11100100",
3162
 B"00000101", B"11100001", B"00010111", B"11010101", B"00100101",
3163
 B"00101110", B"11100000", B"00100100", B"00011001", B"11011111",
3164
 B"00100010", B"00011001", B"11001110", B"11011010", B"00100011",
3165
 B"00001111", B"11101101", B"11101000", B"11001110", B"11101011",
3166
 B"11010011", B"00010001", B"00011001", B"11100010", B"00111110",
3167
 B"11111010", B"00100000", B"11100101", B"00010110", B"00100010",
3168
 B"11011011", B"00100000", B"00101101", B"11011101", B"11011101",
3169
 B"11101001", B"11101000", B"00100100", B"00100110", B"11110000",
3170
 B"00010000", B"11001101", B"11100111", B"00100001", B"00101100",
3171
 B"00010010", B"11011101", B"00100100", B"11101101", B"00010110",
3172
 B"00011101", B"11101111", B"11011110", B"00110001", B"11010001",
3173
 B"11101111", B"11010010", B"11101000", B"11011100", B"00101000",
3174
 B"00101010", B"11011010", B"11110010", B"11100000", B"11100101",
3175
 B"11101010", B"11001110", B"11100000", B"00011010", B"11011100",
3176
 B"00000110", B"11010010", B"11001101", B"00001100", B"00101000",
3177
 B"11011010", B"00000111", B"00101010", B"11100100", B"00011100",
3178
 B"00010000", B"11101101", B"00100000", B"11100111", B"11010100",
3179
 B"11010101", B"11100001", B"00100011", B"11100000", B"00101000",
3180
 B"11101010", B"00100110", B"00001001", B"00100111", B"11100110",
3181
 B"00110111", B"11100110", B"00011100", B"11001011", B"00011010",
3182
 B"11011011", B"11011010", B"11001100", B"00011111", B"00010111",
3183
 B"11100001", B"00010100", B"00011100", B"11010011", B"00101011",
3184
 B"00100110", B"11101000", B"11011111", B"00110000", B"00011001",
3185
 B"11100111", B"11110100", B"11100001", B"11010101", B"00010101",
3186
 B"11000100", B"00111011", B"00100010", B"00100100", B"11100110",
3187
 B"00010100", B"00011110", B"11100100", B"11010100", B"00100110",
3188
 B"11101010", B"00100110", B"00101001", B"00011000", B"11100010",
3189
 B"00100111", B"11100111", B"00010110", B"00010101", B"11011111",
3190
 B"11101000", B"00100000", B"11001100", B"11101011", B"11101100",
3191
 B"11010111", B"00101000", B"00100110", B"00011000", B"00101001",
3192
 B"00101111", B"00100110", B"00101000", B"00011011", B"11010001",
3193
 B"00001011", B"00100001", B"00100000", B"11010101", B"00011110",
3194
 B"11110101", B"00101110", B"00001011", B"11100001", B"01000001",
3195
 B"11111010", B"11100000", B"11010001", B"00011100", B"00110101",
3196
 B"11101000", B"11011010", B"00011111", B"11010100", B"00011111",
3197
 B"11011100", B"11001001", B"00101110", B"00110001", B"00010011",
3198
 B"11101011", B"00101000", B"00101011", B"11100111", B"11101110",
3199
 B"00011000", B"11100001", B"11110010", B"00010111", B"00010100",
3200
 B"00101101", B"00001111", B"11100000", B"11111001", B"11011111",
3201
 B"00011110", B"11011001", B"00100101", B"11010010", B"11000011",
3202
 B"00101010", B"00010101", B"00011011", B"00100111", B"00101001",
3203
 B"00110010", B"00011010", B"00010111", B"00011000", B"00100011",
3204
 B"11010110", B"11011101", B"11010011", B"11011111", B"00011100",
3205
 B"00011000", B"11111110", B"00001111", B"11011000", B"00101111",
3206
 B"00110100", B"11011010", B"11110010", B"11010001", B"11011110",
3207
 B"11001111", B"00100010", B"00100001", B"00011100", B"00100110",
3208
 B"00011101", B"00101100", B"00011001", B"00100110", B"11100000",
3209
 B"00011000", B"00010110", B"11011110", B"11101010", B"11101111",
3210
 B"11111111", B"11101111", B"00100011", B"11101110", B"00011000",
3211
 B"11100001", B"11010111", B"11011010", B"00010001", B"00101101",
3212
 B"00010101", B"11100110", B"11011111", B"11001101", B"11011011",
3213
 B"00101011", B"11101010", B"00001110", B"11011110", B"00010111",
3214
 B"00101110", B"00100011", B"11011110", B"00001101", B"11110000",
3215
 B"00010011", B"11100011", B"11110010", B"11111101", B"00011101",
3216
 B"00100111", B"00010100", B"11011011", B"11000110", B"00100110",
3217
 B"00010111", B"11101011", B"00110110", B"00010100", B"11100001",
3218
 B"11010001", B"00100001", B"00010011", B"00010111", B"00011000",
3219
 B"11001111", B"00100101", B"11000011", B"00100000", B"11010100",
3220
 B"00010111", B"00010110", B"11011001", B"00011100", B"00101100",
3221
 B"11011000", B"11011110", B"00010011", B"11001100", B"00101001",
3222
 B"11100010", B"11110011", B"11101011", B"00100111", B"00010110",
3223
 B"11001000", B"11100000", B"00100110", B"00100001", B"00100010",
3224
 B"11101100", B"00001100", B"11001000", B"00010001", B"00010111",
3225
 B"00011110", B"11100110", B"00010110", B"00100101", B"11100000",
3226
 B"11111010", B"00011101", B"00110001", B"00011101", B"00010001",
3227
 B"00011100", B"00100010", B"00011010", B"00011101", B"00101001",
3228
 B"00100101", B"11011000", B"00101001", B"00110001", B"11110010",
3229
 B"00010100", B"00100111", B"11100001", B"00010001", B"00011010",
3230
 B"11011001", B"00011101", B"00100101", B"11110010", B"11100111",
3231
 B"00010111", B"00011010", B"00101111", B"00010110", B"11100010",
3232
 B"00101100", B"11011110", B"00100000", B"11110101", B"00010010",
3233
 B"11011110", B"00101001", B"00100110", B"11011011", B"00011100",
3234
 B"00011001", B"11101100", B"11100011", B"00011101", B"11101111",
3235
 B"11011101", B"11100011", B"00101101", B"00011010", B"11011101",
3236
 B"00100001", B"00010111", B"00101010", B"11100101", B"00101110",
3237
 B"11010111", B"00010110", B"11010111", B"11011011", B"11110000",
3238
 B"00100011", B"00110000", B"00010101", B"00011010", B"11011110",
3239
 B"11100100", B"11100101", B"11001110", B"00100110", B"00010101",
3240
 B"00100011", B"00010011", B"11100010", B"11011011", B"00001111",
3241
 B"11100011", B"11011000", B"00100111", B"11011000", B"11010101",
3242
 B"11011111", B"11010100", B"11010110", B"00100010", B"00001001",
3243
 B"11001110", B"11101000", B"11100010", B"11011100", B"11100110",
3244
 B"00100011", B"11011010", B"00101010", B"00010110", B"11101000",
3245
 B"00100010", B"00101101", B"11010111", B"00100110", B"11100101",
3246
 B"00011010", B"11100100", B"11010000", B"11100101", B"00100001",
3247
 B"00010010", B"11110001", B"11011010", B"11100110", B"00100000",
3248
 B"00001100", B"11110011", B"00101001", B"11010100", B"11011111",
3249
 B"00101000", B"11010110", B"00001111", B"11101110", B"11100000",
3250
 B"00010101", B"00100011", B"00100001", B"00100001", B"00100010",
3251
 B"11011110", B"00100011", B"11010111", B"00100011", B"11011101",
3252
 B"00010010", B"11011100", B"11011010", B"00100011", B"11011001",
3253
 B"11011010", B"11010011", B"11101010", B"00001100", B"11001111",
3254
 B"00010100", B"00001010", B"11101111", B"00011011", B"00101110",
3255
 B"11101101", B"11101101", B"11011100", B"11100001", B"00011011",
3256
 B"00001001", B"11010101", B"00100110", B"11011111", B"00010000",
3257
 B"11100010", B"11100101", B"11101111", B"11101001", B"00011010",
3258
 B"11011111", B"00010001", B"11100011", B"11011110", B"11001101",
3259
 B"11011001", B"00110101", B"00111000", B"00100011", B"00100111",
3260
 B"11101000", B"11011110", B"11101010", B"00001001", B"00011111",
3261
 B"11101000", B"00101011", B"11100010", B"00101101", B"00010000",
3262
 B"00011010", B"11100010", B"00011011", B"11100110", B"00100100",
3263
 B"11011011", B"11100010", B"11011111", B"00010010", B"00101011",
3264
 B"00000000", B"00100001", B"11010111", B"11010111", B"00011100",
3265
 B"11011010", B"00011101", B"11100111", B"11010111", B"11001000",
3266
 B"00011100", B"00101110", B"00100101", B"00010101", B"00101001",
3267
 B"00110001", B"00010001", B"00011101", B"00100100", B"00110001",
3268
 B"11100001", B"11010110", B"00100011", B"00100011", B"00010010",
3269
 B"00110011", B"11110010", B"11100010", B"00011111", B"00100101",
3270
 B"11011100", B"11100110", B"00011100", B"00100110", B"11100110",
3271
 B"11101100", B"11101100", B"00001110", B"00001101", B"11010010",
3272
 B"11010100", B"11101011", B"11101010", B"11100000", B"00100101",
3273
 B"11010011", B"00011011", B"11101000", B"11011110", B"11101100",
3274
 B"00100110", B"00011011", B"00010100", B"11101011", B"00101101",
3275
 B"11011110", B"11011010", B"11100101", B"00001010", B"00101100",
3276
 B"11100111", B"11011010", B"00100000", B"00100001", B"00101001",
3277
 B"00110000", B"11110000", B"11010001", B"00101011", B"00101000",
3278
 B"00011100", B"00111001", B"00100111", B"00011110", B"00100010",
3279
 B"00011001", B"01000000", B"11001110", B"00011010", B"00101010",
3280
 B"11011000", B"00010001", B"00010101", B"11100101", B"11100110",
3281
 B"00011011", B"11100100", B"11100100", B"11010110", B"00101001",
3282
 B"00100010", B"11100000", B"11011111", B"00010100", B"11100101",
3283
 B"11100101", B"11101010", B"00011110", B"00011100", B"11110100",
3284
 B"00110101", B"00111000", B"00001011", B"11010111", B"00100010",
3285
 B"11011011", B"00011011", B"11100010", B"11011101", B"00100101",
3286
 B"11110001", B"11011110", B"11011111", B"00100000", B"00101011",
3287
 B"11011110", B"11100001", B"00100001", B"00101100", B"11100111",
3288
 B"11100101", B"11100100", B"11100000", B"11100000", B"11001011",
3289
 B"11100000", B"11010000", B"11001111", B"00011111", B"00100100",
3290
 B"00101101", B"00011010", B"00100010", B"11100000", B"00001100",
3291
 B"00011110", B"11101110", B"00100100", B"00011111", B"11101001",
3292
 B"11101001", B"00011110", B"00011011", B"11100011", B"11110010",
3293
 B"11100000", B"11011110", B"11100110", B"00011100", B"00011100",
3294
 B"00000001", B"11100010", B"00010001", B"00100010", B"11100001",
3295
 B"11100000", B"00110011", B"00001111", B"00101010", B"00010100",
3296
 B"00100011", B"00011111", B"00010011", B"00011111", B"11010010",
3297
 B"00011110", B"11100000", B"00011111", B"11011101", B"11111011",
3298
 B"00010101", B"00100000", B"11100110", B"00101000", B"00101001",
3299
 B"00011001", B"11010010", B"00100110", B"11011110", B"00011101",
3300
 B"00011010", B"11011001", B"11000110", B"00101010", B"11101100",
3301
 B"11011101", B"11011101", B"11011111", B"11010010", B"00011101",
3302
 B"00111100", B"00101101", B"11011110", B"00100110", B"11101011",
3303
 B"00011010", B"00101110", B"00100011", B"00001010", B"00101000",
3304
 B"00110000", B"00100001", B"00010111", B"00100110", B"11011011",
3305
 B"11101110", B"00010101", B"11010100", B"00100011", B"11011000",
3306
 B"11011111", B"00100011", B"00100011", B"11100100", B"00011111",
3307
 B"00100100", B"11100011", B"00100011", B"00001110", B"11011011",
3308
 B"11101010", B"11100000", B"00101101", B"00101111", B"00100110",
3309
 B"00011100", B"11101100", B"11010000", B"11100001", B"11011011",
3310
 B"11101010", B"00011001", B"00101101", B"11010111", B"00011110",
3311
 B"11110101", B"00100000", B"00100110", B"00011011", B"11001110",
3312
 B"00010000", B"11101011", B"00011100", B"11101001", B"00101001",
3313
 B"11101100", B"11101001", B"11010111", B"11100101", B"00100110",
3314
 B"11011110", B"00000110", B"00011000", B"00100100", B"00011001",
3315
 B"11100011", B"00100001", B"11001100", B"00110001", B"11010110",
3316
 B"00100001", B"11001001", B"00100010", B"11100111", B"11100011",
3317
 B"11100101", B"00010111", B"00010111", B"00100000", B"00011001",
3318
 B"00100010", B"11010001", B"00100110", B"11101011", B"00100010",
3319
 B"11011010", B"00011001", B"11101100", B"00100110", B"11101001",
3320
 B"11101101", B"11100000", B"00010111", B"00000110", B"11011101",
3321
 B"00110000", B"00101111", B"00100000", B"11100111", B"00011101",
3322
 B"11110101", B"00100001", B"11010010", B"11110110", B"11010100",
3323
 B"11011001", B"00100110", B"00011011", B"00100101", B"00100110",
3324
 B"11100100", B"00011110", B"00110000", B"00100000", B"11100101",
3325
 B"00100011", B"11010011", B"00111100", B"00010110", B"11100111",
3326
 B"11101100", B"11101011", B"11011110", B"00100000", B"11100000",
3327
 B"00100011", B"11110000", B"11100001", B"00011101", B"00100001",
3328
 B"00011100", B"00010101", B"11010110", B"11011110", B"00010000",
3329
 B"11011000", B"11011101", B"11010011", B"11011101", B"00100000",
3330
 B"11101011", B"00011111", B"11011000", B"00100111", B"11011101",
3331
 B"00011101", B"11100111", B"11010100", B"00100100", B"00001100",
3332
 B"00011110", B"00011110", B"11110010", B"11101000", B"00101111",
3333
 B"00010010", B"11011000", B"11100010", B"00001000", B"00010010",
3334
 B"11011101", B"00100000", B"00001111", B"11011101", B"11100010",
3335
 B"00101011", B"11111100", B"11110000", B"00100110", B"11101111",
3336
 B"00100000", B"11100000", B"11100001", B"00011101", B"00110110",
3337
 B"00011000", B"11110000", B"11011111", B"00010110", B"00011111",
3338
 B"11110001", B"11101100", B"11110001", B"00100100", B"11100011",
3339
 B"11011000", B"11011010", B"00101111", B"00100101", B"11010000",
3340
 B"00100000", B"11100000", B"00010011", B"00101110", B"11100011",
3341
 B"00100111", B"00111100", B"11011010", B"11100001", B"11011001",
3342
 B"11110001", B"11100111", B"00100001", B"00100101", B"00101111",
3343
 B"00100100", B"00000010", B"11101000", B"00101111", B"11000010",
3344
 B"00011011", B"11001010", B"11010010", B"00110011", B"11010101",
3345
 B"11101111", B"00010010", B"00001111", B"00011100", B"00011011",
3346
 B"11100001", B"11100010", B"11100000", B"00110100", B"00011001",
3347
 B"00100101", B"11110101", B"00101000", B"11011101", B"00100101",
3348
 B"00100010", B"11011001", B"00001001", B"00100101", B"11011101",
3349
 B"00100011", B"00010111", B"11100110", B"11100011", B"00110001",
3350
 B"00101000", B"11110001", B"11011000", B"11100100", B"11011111",
3351
 B"11010110", B"00011111", B"00010011", B"11101111", B"11100101",
3352
 B"00011101", B"00011010", B"11011011", B"11100000", B"11011001",
3353
 B"11011100", B"11010101", B"00011011", B"00011100", B"11100100",
3354
 B"00011010", B"11101101", B"00100001", B"11000111", B"00100010",
3355
 B"11011111", B"11011010", B"11011100", B"00010010", B"00011101",
3356
 B"00011110", B"11100101", B"00010000", B"11010010", B"11100010",
3357
 B"11110101", B"00110101", B"00010010", B"11001011", B"11000101",
3358
 B"00100001", B"00011101", B"00101111", B"00011011", B"11011100",
3359
 B"11111001", B"11011100", B"11001101", B"11011111", B"11100010",
3360
 B"00101000", B"00101101", B"00101010", B"00110110", B"11110001",
3361
 B"11100000", B"00011111", B"00011110", B"00011101", B"00100100",
3362
 B"11001101", B"11101010", B"11100000", B"11101010", B"00011110",
3363
 B"00100000", B"00011100", B"00100000", B"11100110", B"11010011",
3364
 B"00100010", B"00010111", B"00011001", B"11010100", B"00011101",
3365
 B"11011110", B"00000100", B"11010110", B"11101011", B"11100110",
3366
 B"11101000", B"00011111", B"00010011", B"11101001", B"00110000",
3367
 B"11101000", B"11100110", B"00100111", B"00010011", B"00001110",
3368
 B"11011010", B"00011110", B"11100101", B"00100001", B"00010010",
3369
 B"11010110", B"00010010", B"11101110", B"11100010", B"11100100",
3370
 B"00100100", B"00101000", B"11101010", B"11011110", B"11101100",
3371
 B"11010001", B"00100010", B"00010100", B"00110010", B"00011000",
3372
 B"00101101", B"11101111", B"00100001", B"11100101", B"11100001",
3373
 B"11011100", B"00011011", B"00011001", B"11011010", B"00100001",
3374
 B"11011101", B"11010010", B"11010001", B"00011001", B"00101100",
3375
 B"11001100", B"11100011", B"11010110", B"00011101", B"11011111",
3376
 B"00100100", B"11100101", B"11001011", B"00011010", B"11011111",
3377
 B"00100010", B"00010010", B"11100010", B"11011000", B"11100000",
3378
 B"11100010", B"11101000", B"11011100", B"00011110", B"11011111",
3379
 B"11000011", B"11011100", B"00100000", B"00100000", B"11100110",
3380
 B"11100011", B"11100101", B"11011000", B"11100111", B"00010101",
3381
 B"00101001", B"00111000", B"00100000", B"00011010", B"00100010",
3382
 B"00011100", B"11100100", B"00100111", B"11010011", B"00011000",
3383
 B"11101110", B"00010100", B"11000111", B"00101100", B"11011010",
3384
 B"11011111", B"11101000", B"00101011", B"00011010", B"00011000",
3385
 B"00110011", B"00011000", B"11010101", B"00100011", B"11110100",
3386
 B"00100011", B"11001100", B"11101110", B"00001011", B"00011001",
3387
 B"00100011", B"11010111", B"00001101", B"11100100", B"00011001",
3388
 B"11100000", B"11110010", B"00101110", B"00100101", B"00010111",
3389
 B"00110000", B"11011110", B"11101010", B"00110000", B"11010000",
3390
 B"11101000", B"00110101", B"11010011", B"11101110", B"11100111",
3391
 B"11010110", B"11011101", B"00100100", B"11011101", B"00110110",
3392
 B"11100011", B"11100011", B"00110001", B"00100011", B"11010011",
3393
 B"00100010", B"00010110", B"00011101", B"11010011", B"00100001",
3394
 B"11111011", B"00011001", B"00001011", B"00100010", B"11011011",
3395
 B"11110000", B"00011001", B"00101110", B"11011011", B"11100000",
3396
 B"11010101", B"11101011", B"11011010", B"11100100", B"00100100",
3397
 B"00010000", B"00100100", B"00101001", B"00101011", B"00011100",
3398
 B"11010000", B"11100010", B"00101001", B"00010110", B"11100011",
3399
 B"11100010", B"11101100", B"11011010", B"00011011", B"00101010",
3400
 B"00011000", B"00100111", B"11011101", B"11001111", B"11100000",
3401
 B"11011101", B"00011100", B"11011011", B"00010110", B"11011001",
3402
 B"11011011", B"00100110", B"11100110", B"11011010", B"00101010",
3403
 B"11100101", B"00110010", B"11100110", B"11011010", B"00011100",
3404
 B"11000111", B"00011101", B"11001110", B"11100000", B"11100010",
3405
 B"00100010", B"00101101", B"11101011", B"00000100", B"00100010",
3406
 B"00010101", B"00100000", B"00010111", B"00001100", B"00100010",
3407
 B"00100110", B"00110010", B"00101100", B"11101000", B"11100100",
3408
 B"00101010", B"00101010", B"11110100", B"11011110", B"11011101",
3409
 B"00011110", B"00100011", B"00011110", B"11011110", B"00011111",
3410
 B"11100010", B"00110010", B"00011111", B"00100001", B"00010101",
3411
 B"11110010", B"00100001", B"11100001", B"00010110", B"11101000",
3412
 B"00001101", B"00100101", B"11010111", B"11101001", B"00101011",
3413
 B"00101000", B"11010110", B"11101011", B"11100101", B"00100011",
3414
 B"11011001", B"00100101", B"11100111", B"11100101", B"00011000",
3415
 B"00100001", B"11010100", B"00101101", B"00101111", B"00101110",
3416
 B"11100001", B"00110001", B"11101110", B"00000110", B"01000010",
3417
 B"00100101", B"00011100", B"00011000", B"00010111", B"00100011",
3418
 B"00010011", B"00101110", B"00101011", B"00100100", B"00001110",
3419
 B"00101100", B"00100110", B"00011000", B"00100100", B"00011110",
3420
 B"00010110", B"11010001", B"11100010", B"11011010", B"11011101",
3421
 B"00001100", B"11100111", B"00011001", B"11100001", B"11100110",
3422
 B"00001110", B"11100000", B"00011001", B"11100000", B"11100100",
3423
 B"00101110", B"11010000", B"11011100", B"00100101", B"00100101",
3424
 B"00110001", B"00110001", B"11011100", B"11001010", B"11001000",
3425
 B"11011011", B"11100001", B"00011000", B"00100101", B"11100010",
3426
 B"00010111", B"11101011", B"11101001", B"11011100", B"11100110",
3427
 B"11100110", B"00011111", B"00100101", B"00011110", B"00101110",
3428
 B"00010101", B"00100001", B"11100001", B"00101010", B"00101000",
3429
 B"11011011", B"11100100", B"00110010", B"00110001", B"11010010",
3430
 B"00000101", B"00010000", B"11010000", B"00010011", B"00100110",
3431
 B"11101010", B"11010101", B"11100101", B"00100111", B"11010111",
3432
 B"00101010", B"11011100", B"11010000", B"00100100", B"11011111",
3433
 B"00110001", B"00010100", B"00011110", B"11100011", B"00010101",
3434
 B"11100010", B"00010111", B"11101111", B"00010001", B"11011010",
3435
 B"00011001", B"11100100", B"11011100", B"00010111", B"00100010",
3436
 B"11011010", B"00010100", B"11101111", B"11100000", B"11101100",
3437
 B"00101100", B"00110000", B"11011101", B"11101000", B"00101000",
3438
 B"00101110", B"11100010", B"11100010", B"11100101", B"11101011",
3439
 B"11010111", B"00101001", B"00100000", B"11100111", B"00011011",
3440
 B"00110110", B"11011001", B"11100000", B"00011101", B"11010011",
3441
 B"11011101", B"11011111", B"11011101", B"00100100", B"00011111",
3442
 B"00100101", B"00010111", B"11101010", B"00011100", B"11011100",
3443
 B"11101000", B"11100111", B"00101011", B"00100101", B"11101101",
3444
 B"00011110", B"11100101", B"11011100", B"11011111", B"11100101",
3445
 B"00100110", B"11001010", B"00010000", B"11101110", B"00110000",
3446
 B"11011001", B"00011010", B"11011111", B"11100011", B"00011100",
3447
 B"00110110", B"11100001", B"00011101", B"00100000", B"11100110",
3448
 B"11011111", B"11001011", B"11100000", B"11010000", B"11011100",
3449
 B"11101001", B"11100110", B"00100110", B"00011110", B"11011010",
3450
 B"00010101", B"11010100", B"11100011", B"11011001", B"11100101",
3451
 B"00100110", B"00101101", B"11011011", B"00011111", B"11101001",
3452
 B"00100010", B"11010011", B"11011110", B"00001111", B"11011100",
3453
 B"11010011", B"11010100", B"11000101", B"11101101", B"11011010",
3454
 B"00100110", B"11011101", B"00011011", B"11100111", B"11100011",
3455
 B"00011010", B"11101011", B"00011100", B"00010111", B"11010000",
3456
 B"11101101", B"11101110", B"11100010", B"11011010", B"00101000",
3457
 B"11011010", B"00100001", B"11100011", B"11010000", B"11011111",
3458
 B"00110000", B"00100110", B"00010101", B"11011001", B"00010111",
3459
 B"11011010", B"11010110", B"11101101", B"00100101", B"00101000",
3460
 B"11101001", B"11100001", B"00011001", B"11100111", B"00100100",
3461
 B"11011110", B"11010110", B"00100110", B"11111001", B"00011000",
3462
 B"00011100", B"11101010", B"11110000", B"11011000", B"11010111",
3463
 B"11100001", B"00110010", B"11100100", B"00011100", B"11100101",
3464
 B"11101011", B"11101100", B"00010111", B"00010011", B"00011110",
3465
 B"00010001", B"11101110", B"11010101", B"00100110", B"00101010",
3466
 B"11011010", B"11101011", B"11101110", B"00010011", B"00010101",
3467
 B"11011001", B"11100011", B"00010001", B"11100111", B"11100110",
3468
 B"00100011", B"11101001", B"00101011", B"11101101", B"11100000",
3469
 B"11100101", B"00100100", B"00010101", B"00010101", B"11001010",
3470
 B"11010000", B"00010110", B"11101001", B"11100110", B"11101101",
3471
 B"11100101", B"11101010", B"11011001", B"11010101", B"11101000",
3472
 B"00011101", B"00011111", B"00011110", B"00010101", B"11101001",
3473
 B"11011110", B"00100100", B"11110000", B"00100001", B"11100111",
3474
 B"11010101", B"00101110", B"00010110", B"11110010", B"00010100",
3475
 B"00001100", B"11100000", B"00001101", B"00011100", B"11011010",
3476
 B"11110000", B"11011111", B"11011100", B"11001000", B"00001100",
3477
 B"00101100", B"00101001", B"00111111", B"11101010", B"00100011",
3478
 B"11101001", B"11100101", B"11010110", B"00011111", B"00100001",
3479
 B"11101011", B"11100000", B"00101011", B"00100001", B"11011001",
3480
 B"11010110", B"11101010", B"11101111", B"11010101", B"11101111",
3481
 B"00101001", B"00101000", B"10111110", B"11100111", B"11110111",
3482
 B"11100010", B"11100111", B"00011001", B"11011001", B"11111100",
3483
 B"11101110", B"11010011", B"00101000", B"11100001", B"00001011",
3484
 B"11011011", B"00100111", B"11111001", B"00100000", B"11010011",
3485
 B"11001000", B"00100011", B"00110011", B"00011111", B"11101011",
3486
 B"00101010", B"11100001", B"11100101", B"11011111", B"00100011",
3487
 B"00100100", B"00011110", B"00101010", B"11001010", B"00010011",
3488
 B"00100100", B"11100110", B"11101101", B"00011100", B"11011000",
3489
 B"00010001", B"00001101", B"00100010", B"11101001", B"00011110",
3490
 B"11011111", B"00000111", B"11010111", B"00100010", B"11011000",
3491
 B"11010100", B"11100010", B"00100101", B"00100000", B"11101000",
3492
 B"00100100", B"11100100", B"00011110", B"00010101", B"11010111",
3493
 B"00101011", B"00001100", B"11100001", B"00100000", B"11001011",
3494
 B"11011111", B"11100101", B"11011101", B"00110000", B"11011001",
3495
 B"00001100", B"00011000", B"00100111", B"11101111", B"11100110",
3496
 B"00001001", B"00101000", B"11011101", B"11010101", B"11100110",
3497
 B"11011110", B"00001110", B"11010011", B"00101011", B"11011011",
3498
 B"11011001", B"00001100", B"11010101", B"11111000", B"11011000",
3499
 B"00001001", B"00100100", B"11111011", B"00101001", B"11110011",
3500
 B"00100101", B"00011110", B"00011001", B"11011110", B"00001110",
3501
 B"11011010", B"00100100", B"11100111", B"00010100", B"11001010",
3502
 B"00010100", B"11100010", B"11100100", B"11000011", B"00101010",
3503
 B"00100001", B"00010100", B"00011101", B"11011010", B"11010111",
3504
 B"00110011", B"00100010", B"11001010", B"11101001", B"11100100",
3505
 B"00000001", B"00100101", B"00011101", B"11011010", B"00010101",
3506
 B"11101010", B"00010110", B"11101011", B"11011010", B"00011100",
3507
 B"11101011", B"00011000", B"11101001", B"11010110", B"00011110",
3508
 B"00010100", B"00100010", B"00101101", B"11100100", B"00010110",
3509
 B"11100001", B"00101000", B"11011000", B"00100011", B"00100100",
3510
 B"00010000", B"00100110", B"00100000", B"00011110", B"00100000",
3511
 B"00101001", B"00101111", B"11010101", B"11100000", B"11010110",
3512
 B"11100010", B"00100011", B"11011100", B"00100100", B"11100010",
3513
 B"00010010", B"11101010", B"00001110", B"11100011", B"11100011",
3514
 B"00110110", B"00001101", B"11100001", B"00100110", B"00011111",
3515
 B"00011011", B"11010111", B"00100100", B"11100001", B"00010100",
3516
 B"00011010", B"00100101", B"11010011", B"00100010", B"01000000",
3517
 B"11100111", B"11100010", B"00011100", B"00011110", B"00100110",
3518
 B"00100100", B"00010111", B"00100111", B"00110010", B"00100111",
3519
 B"00001011", B"00101001", B"00001111", B"11010101", B"11001101",
3520
 B"00100100", B"00100111", B"11010110", B"11110000", B"00010000",
3521
 B"11100011", B"00011010", B"00011010", B"11100111", B"00101001",
3522
 B"00011001", B"11010100", B"00010001", B"11100011", B"00101011",
3523
 B"00011111", B"11011011", B"00100110", B"00100001", B"11100000",
3524
 B"00110011", B"00100101", B"00101111", B"00100010", B"00001000",
3525
 B"00101001", B"00011010", B"00011110", B"00101101", B"11001111",
3526
 B"11100110", B"11010100", B"11100000", B"00101100", B"11011111",
3527
 B"00100100", B"11101001", B"00011010", B"00010010", B"00100111",
3528
 B"11100111", B"00010100", B"11101101", B"00010011", B"00011101",
3529
 B"11100000", B"00011100", B"11100011", B"11010110", B"11011101",
3530
 B"00011010", B"00010111", B"11010011", B"00101100", B"00011110",
3531
 B"11011111", B"11100010", B"11100101", B"11011010", B"11100111",
3532
 B"11101101", B"11101000", B"11011010", B"11100110", B"00101111",
3533
 B"00010101", B"00010011", B"00011111", B"11010100", B"11100010",
3534
 B"11101111", B"00101000", B"00100001", B"11100011", B"00010110",
3535
 B"11010101", B"00010101", B"00001110", B"11100000", B"00101110",
3536
 B"00010000", B"11011001", B"11010111", B"00101111", B"00011111",
3537
 B"00010111", B"11011101", B"00011100", B"00011101", B"11001011",
3538
 B"11101010", B"00111101", B"00101011", B"00010001", B"00011011",
3539
 B"00011011", B"00100000", B"00110101", B"00010101", B"00011101",
3540
 B"11001100", B"11100110", B"00101101", B"00100110", B"00100001",
3541
 B"00100101", B"11010110", B"11100000", B"00100010", B"00101011",
3542
 B"11010010", B"00011001", B"00101000", B"11100010", B"11001111",
3543
 B"00001010", B"00101000", B"11001101", B"11001111", B"11010101",
3544
 B"11011111", B"00100011", B"11100010", B"00101001", B"00110110",
3545
 B"00110111", B"00100001", B"00100110", B"00111100", B"00010111",
3546
 B"00001100", B"00011000", B"11011110", B"00001001", B"11101000",
3547
 B"11100101", B"11100111", B"00010101", B"00011111", B"11010110",
3548
 B"11100011", B"11011100", B"11010101", B"00101010", B"00011110",
3549
 B"11101001", B"00011111", B"11100111", B"11011010", B"11010100",
3550
 B"00100100", B"11101110", B"00100011", B"11111100", B"11101010",
3551
 B"00101100", B"11100110", B"11011101", B"11010000", B"00010010",
3552
 B"00001101", B"11010000", B"00100101", B"11011010", B"00100101",
3553
 B"00011011", B"00101010", B"00011111", B"00101001", B"00011100",
3554
 B"00011001", B"00101010", B"00101110", B"00010101", B"11101111",
3555
 B"00101011", B"00100001", B"11101001", B"11010110", B"00011101",
3556
 B"11001101", B"00011100", B"00011111", B"11010110", B"11110010",
3557
 B"11111010", B"11010001", B"11011100", B"00100110", B"00011100",
3558
 B"11100100", B"11100001", B"00000111", B"00100101", B"11100000",
3559
 B"11100011", B"11110000", B"11101001", B"11011010", B"11011111",
3560
 B"00011101", B"00110101", B"00011010", B"00011001", B"11101000",
3561
 B"11101010", B"00010011", B"00011010", B"00100011", B"00100001",
3562
 B"11100110", B"11011110", B"00100011", B"00110001", B"00100000",
3563
 B"00101011", B"00001010", B"00100001", B"00011111", B"00101100",
3564
 B"00100011", B"11100011", B"00001100", B"11011011", B"11001111",
3565
 B"11100010", B"00101001", B"00000101", B"11100110", B"11100000",
3566
 B"00110010", B"11010100", B"00001011", B"11100011", B"11001101",
3567
 B"00111000", B"00000101", B"00110001", B"00011110", B"11011000",
3568
 B"00010111", B"11001110", B"00011101", B"11101010", B"11100010",
3569
 B"11010000", B"11110001", B"00011101", B"00100101", B"11100000",
3570
 B"00011011", B"11010011", B"00101111", B"00101110", B"00100000",
3571
 B"11101010", B"00100001", B"11011111", B"00100010", B"11011001",
3572
 B"11011000", B"11100000", B"00010110", B"11100111", B"00101000",
3573
 B"11110000", B"11100110", B"00010000", B"00010010", B"00011110",
3574
 B"00100011", B"00001101", B"00101101", B"00001110", B"00010001",
3575
 B"00011101", B"00011110", B"00100110", B"11011100", B"00011110",
3576
 B"00010010", B"11010110", B"11100000", B"00001010", B"00101000",
3577
 B"11010110", B"11011000", B"00011100", B"11011010", B"11100000",
3578
 B"11100011", B"11010110", B"00001100", B"00010111", B"00001100",
3579
 B"00010010", B"00001110", B"00100000", B"00001000", B"00011101",
3580
 B"00100110", B"00100010", B"11011000", B"00010111", B"00001001",
3581
 B"11100110", B"11011001", B"00101001", B"00011101", B"00010100",
3582
 B"11010101", B"11011101", B"00011011", B"00001000", B"11100110",
3583
 B"11101010", B"00011011", B"11101101", B"00110001", B"00011110",
3584
 B"11101111", B"00011110", B"00100100", B"11011001", B"11110010",
3585
 B"00011111", B"00101100", B"00011010", B"11101000", B"00101011",
3586
 B"11011100", B"00100011", B"11011000", B"00100011", B"11100000",
3587
 B"11100010", B"11100101", B"00000111", B"00011110", B"11010000",
3588
 B"00011011", B"00011011", B"00011101", B"11100110", B"00101101",
3589
 B"11101000", B"00101010", B"11011000", B"11101000", B"11010011",
3590
 B"00010111", B"11101101", B"00100011", B"11010000", B"11100101",
3591
 B"00100011", B"11000111", B"11010011", B"11010111", B"00110010",
3592
 B"00100100", B"11100110", B"00011100", B"11100110", B"11101001",
3593
 B"00111001", B"00100101", B"00101000", B"11011010", B"00011110",
3594
 B"11001010", B"00101011", B"00011101", B"00100110", B"00100110",
3595
 B"11010101", B"00110011", B"11011001", B"00010001", B"11010011",
3596
 B"00011111", B"00101011", B"11110000", B"11100110", B"00011110",
3597
 B"00010001", B"11101001", B"11101011", B"00111100", B"11101100",
3598
 B"00100100", B"00011100", B"11100001", B"00011110", B"00100000",
3599
 B"11100011", B"11010111", B"00011100", B"00110101", B"11110000",
3600
 B"11010010", B"11100111", B"11011011", B"11011101", B"00011110",
3601
 B"11100110", B"00011010", B"11100011", B"11011100", B"11011001",
3602
 B"00100011", B"00100000", B"11011111", B"00100111", B"00011010",
3603
 B"11100010", B"11100010", B"11011101", B"11001100", B"11100110",
3604
 B"00011011", B"00010110", B"11101011", B"00010000", B"00100110",
3605
 B"11101100", B"11011010", B"00011000", B"11101101", B"00011111",
3606
 B"11011100", B"00011011", B"11100111", B"11100010", B"00010100",
3607
 B"00110001", B"00100100", B"00110110", B"00001110", B"11011100",
3608
 B"00101100", B"11011011", B"00100111", B"11011110", B"00011000",
3609
 B"00100001", B"00101011", B"00100000", B"00100101", B"00110000",
3610
 B"00100100", B"00010100", B"11011001", B"00011111", B"11010110",
3611
 B"00011101", B"11111000", B"11110000", B"00100110", B"00100010",
3612
 B"11100101", B"11011011", B"11111001", B"00101110", B"00110110",
3613
 B"11100101", B"00101111", B"11100001", B"00101100", B"11011010",
3614
 B"11101010", B"11110000", B"11100110", B"00100000", B"11110110",
3615
 B"00011111", B"11101111", B"11011100", B"00010110", B"11100000",
3616
 B"00101011", B"11100001", B"11011110", B"00011100", B"11101110",
3617
 B"11101101", B"11100000", B"11011111", B"00001100", B"00011111",
3618
 B"00011011", B"00011010", B"11101101", B"11010110", B"11100001",
3619
 B"11001101", B"00010101", B"00000101", B"00001111", B"00111110",
3620
 B"00101100", B"11011111", B"00100000", B"00101000", B"11101111",
3621
 B"00100110", B"00100011", B"11110001", B"11111101", B"11101111",
3622
 B"11110010", B"11010011", B"00101100", B"00100100", B"00011000",
3623
 B"00100111", B"11011010", B"11011111", B"00110000", B"11010111",
3624
 B"00010111", B"11100010", B"11100110", B"00100001", B"11100101",
3625
 B"11110101", B"11101110", B"00011111", B"00101000", B"11011001",
3626
 B"00100111", B"11100001", B"00010011", B"11010110", B"00010010",
3627
 B"11011000", B"11011101", B"11011110", B"00010110", B"00100010",
3628
 B"00100011", B"11100101", B"11010000", B"11011100", B"11100100",
3629
 B"00011110", B"11100100", B"00011010", B"00100010", B"00011110",
3630
 B"11011000", B"11100001", B"00011000", B"00011000", B"11110101",
3631
 B"11101100", B"11011101", B"11011000", B"00100101", B"11001011",
3632
 B"00011010", B"11100011", B"11011111", B"00100111", B"11011101",
3633
 B"11110001", B"00100100", B"00101000", B"00100001", B"00110100",
3634
 B"11011001", B"11111010", B"11010010", B"00011011", B"11100100",
3635
 B"11011101", B"11101001", B"00010011", B"00011001", B"11101010",
3636
 B"11011110", B"11011000", B"11000111", B"00100101", B"00101110",
3637
 B"11001000", B"00100110", B"11110001", B"11100001", B"11110010",
3638
 B"11110010", B"00010010", B"00101100", B"11100000", B"00011011",
3639
 B"11100101", B"00101001", B"00011101", B"00011111", B"11011110",
3640
 B"00011110", B"11001001", B"00101001", B"11010000", B"00011111",
3641
 B"11011000", B"00110100", B"11011100", B"11011100", B"11011110",
3642
 B"00100010", B"00000011", B"11011101", B"11010101", B"11010001",
3643
 B"00011100", B"00110110", B"11010101", B"00100111", B"11100100",
3644
 B"11010110", B"00011100", B"00101011", B"00101010", B"11101001",
3645
 B"00011010", B"11100000", B"00011000", B"00011010", B"11011101",
3646
 B"11010100", B"11011111", B"11010111", B"00011010", B"11100000",
3647
 B"00101100", B"00011101", B"00010111", B"11010110", B"00011111",
3648
 B"00011101", B"11101011", B"11001101", B"00100110", B"00110001",
3649
 B"11101001", B"11011000", B"00101001", B"11011101", B"11010000",
3650
 B"11101000", B"11011101", B"00010011", B"11101011", B"11000011",
3651
 B"11111010", B"11101011", B"00101000", B"11010011", B"00011001",
3652
 B"11101010", B"00011111", B"11100010", B"11011101", B"11100100",
3653
 B"00010110", B"00101010", B"11011111", B"00010110", B"11010110",
3654
 B"00010010", B"00100110", B"11011110", B"00100110", B"00011110",
3655
 B"11101111", B"11011100", B"11100100", B"11110110", B"00011101",
3656
 B"00100110", B"11010100", B"00101000", B"11101111", B"00010110",
3657
 B"00011001", B"11011111", B"00010010", B"00011011", B"11100001",
3658
 B"11011010", B"00001111", B"00011111", B"00101000", B"00010100",
3659
 B"11010001", B"00011101", B"11100001", B"00100110", B"11011101",
3660
 B"11011001", B"11100011", B"11101010", B"00100000", B"00001101",
3661
 B"11010011", B"00101001", B"11011111", B"00011101", B"11100000",
3662
 B"11010001", B"00011111", B"11011011", B"11011000", B"11110110",
3663
 B"11110100", B"11100101", B"00101001", B"11010100", B"00010111",
3664
 B"11011000", B"11010100", B"00101000", B"00100010", B"00100110",
3665
 B"11100101", B"00110010", B"11111000", B"11011101", B"11110000",
3666
 B"00010101", B"00100110", B"11100111", B"00010001", B"00010010",
3667
 B"00101010", B"11100101", B"00011000", B"11100110", B"00010100",
3668
 B"00100100", B"11100101", B"11100010", B"00101000", B"11111000",
3669
 B"11011100", B"11100111", B"11110010", B"11101001", B"00010110",
3670
 B"11011011", B"00101000", B"11010110", B"11101101", B"00010001",
3671
 B"00101010", B"00101000", B"11100101", B"00100001", B"11101110",
3672
 B"11101101", B"11101100", B"00011100", B"00101000", B"11010101",
3673
 B"11100010", B"00100011", B"00011010", B"00011011", B"00101110",
3674
 B"11100000", B"11011001", B"00010010", B"00111011", B"00011010",
3675
 B"11101000", B"00011011", B"11011001", B"00011000", B"11101110",
3676
 B"11011001", B"00010001", B"00101101", B"11011110", B"11011000",
3677
 B"11011011", B"11010000", B"11100110", B"11100010", B"11010010",
3678
 B"11111000", B"00010010", B"00010110", B"11101000", B"00001001",
3679
 B"11101100", B"11010111", B"00101111", B"00011110", B"00010001",
3680
 B"11010011", B"00011001", B"11101010", B"00110010", B"11101111",
3681
 B"00100011", B"00010001", B"00101100", B"11101100", B"00110011",
3682
 B"11101011", B"00100111", B"11010100", B"11100110", B"11010100",
3683
 B"00101111", B"00011100", B"11101111", B"00101011", B"11001110",
3684
 B"00101100", B"11001011", B"00110111", B"11011110", B"11100011",
3685
 B"11101111", B"00010000", B"00100100", B"00101011", B"00000011",
3686
 B"00100111", B"00111110", B"00101110", B"00010010", B"00010011",
3687
 B"00011101", B"11100001", B"00101010", B"00101001", B"00011100",
3688
 B"11100111", B"00011111", B"11011111", B"00100100", B"11101010",
3689
 B"11100001", B"00011101", B"11100001", B"00010110", B"11101110",
3690
 B"11111110", B"00001010", B"11110000", B"11010010", B"00010010",
3691
 B"11011101", B"00011100", B"11011100", B"11110010", B"00101001",
3692
 B"00011010", B"11100101", B"00011010", B"11010000", B"11100000",
3693
 B"11011110", B"00010001", B"00100101", B"00010110", B"00011010",
3694
 B"00100101", B"11001010", B"00011010", B"11010001", B"00001011",
3695
 B"11100100", B"11010000", B"11100110", B"00110010", B"00010001",
3696
 B"00101000", B"00101011", B"11010001", B"11011011", B"11011100",
3697
 B"11001111", B"00001101", B"11011101", B"00101001", B"11110010",
3698
 B"11100111", B"00001101", B"11100111", B"11101000", B"11100011",
3699
 B"00010010", B"00110110", B"11010111", B"00111110", B"11010110",
3700
 B"00011110", B"11100111", B"00110101", B"00011111", B"11101101",
3701
 B"00100001", B"00101111", B"11101011", B"11101011", B"11010110",
3702
 B"00101001", B"11011101", B"00100100", B"11010110", B"11001100",
3703
 B"00100100", B"00010110", B"11001010", B"00011110", B"00100000",
3704
 B"11100010", B"00100101", B"00010111", B"11010110", B"11011110",
3705
 B"00011100", B"11011010", B"11010101", B"11010000", B"00011111",
3706
 B"00100110", B"11010100", B"00100101", B"00011110", B"11101101",
3707
 B"00101011", B"00001111", B"11011011", B"11100001", B"00100001",
3708
 B"11100000", B"11010000", B"11010100", B"00101110", B"00100100",
3709
 B"11011011", B"00010001", B"11011000", B"11100001", B"11110000",
3710
 B"00011101", B"00011111", B"00010000", B"00100001", B"11101011",
3711
 B"11101110", B"00110000", B"11110101", B"11101000", B"00010111",
3712
 B"11111110", B"11100001", B"11011001", B"11110000", B"11011010",
3713
 B"11110110", B"00100110", B"11011111", B"00100010", B"11100000",
3714
 B"11010110", B"00011001", B"00010111", B"00101010", B"00100111",
3715
 B"11110000", B"00011001", B"11011100", B"00011101", B"11101010",
3716
 B"00011110", B"11101111", B"11100010", B"11010011", B"11011010",
3717
 B"00101011", B"11100000", B"00101110", B"00010001", B"00011100",
3718
 B"00011001", B"11101101", B"00110101", B"11100011", B"00100111",
3719
 B"11100000", B"00101011", B"11100011", B"00101101", B"00101001",
3720
 B"11010100", B"00011010", B"00100100", B"11010100", B"00100101",
3721
 B"11100101", B"11100111", B"00100010", B"11101001", B"11011010",
3722
 B"11101111", B"11101001", B"00011110", B"11011010", B"00101100",
3723
 B"00011101", B"11011100", B"00100010", B"00011001", B"11101010",
3724
 B"00100001", B"11010001", B"11101101", B"00100110", B"11011011",
3725
 B"11011001", B"11010111", B"11011101", B"11011011", B"11101010",
3726
 B"11100101", B"00100011", B"00101011", B"11110011", B"00011011",
3727
 B"11100110", B"11010101", B"00101111", B"11011000", B"11001110",
3728
 B"11100011", B"00010111", B"00001111", B"11101010", B"11011010",
3729
 B"00100011", B"11010101", B"00010101", B"11010110", B"11011101",
3730
 B"00011001", B"00010101", B"00100101", B"11010111", B"00010011",
3731
 B"00010000", B"11001110", B"00011011", B"00011000", B"11011101",
3732
 B"11011100", B"00100111", B"11101010", B"11010111", B"11101010",
3733
 B"00101100", B"00110001", B"11011110", B"00011101", B"11011010",
3734
 B"11111011", B"00011110", B"11011011", B"11000101", B"11011100",
3735
 B"11101001", B"11101110", B"00011010", B"11001011", B"00011111",
3736
 B"11010111", B"11111011", B"00011100", B"00101010", B"00110110",
3737
 B"00011010", B"11011001", B"00010111", B"00011011", B"11011111",
3738
 B"11101101", B"00010110", B"11011100", B"11101010", B"00011001",
3739
 B"00110001", B"00011010", B"00011011", B"11100100", B"11100111",
3740
 B"11110001", B"11100001", B"11011101", B"00100001", B"00100010",
3741
 B"11101010", B"00101001", B"11010000", B"00001001", B"00100001",
3742
 B"11100011", B"11101010", B"00000101", B"00011011", B"11100111",
3743
 B"11010010", B"00100000", B"00011100", B"11101001", B"00011101",
3744
 B"00011001", B"11011001", B"11010011", B"00100110", B"11110110",
3745
 B"11001110", B"00101111", B"00011111", B"00011010", B"00100000",
3746
 B"11101100", B"11011100", B"00100110", B"11100011", B"11111100",
3747
 B"00100001", B"11101011", B"11011100", B"11010000", B"11100001",
3748
 B"00101001", B"00100000", B"11011101", B"11100001", B"00100000",
3749
 B"00001111", B"11010111", B"11010111", B"11101001", B"11101001",
3750
 B"11100111", B"00101100", B"00101001", B"11100100", B"00011111",
3751
 B"11100101", B"11100010", B"00101101", B"11100001", B"00011100",
3752
 B"11011110", B"11011010", B"00011100", B"00100010", B"00001100",
3753
 B"11100010", B"11111011", B"11100001", B"11010011", B"00110000",
3754
 B"11010011", B"00100010", B"00101100", B"11011011", B"11101011",
3755
 B"11101011", B"11011111", B"00010000", B"11110001", B"00100100",
3756
 B"00011001", B"00011011", B"11011000", B"00101110", B"00100110",
3757
 B"11101001", B"11100001", B"00001001", B"11010011", B"11100011",
3758
 B"00100010", B"11011101", B"00010011", B"11011111", B"11011100",
3759
 B"00010011", B"11011110", B"00110111", B"00011000", B"00010000",
3760
 B"11101100", B"00010100", B"11101101", B"00101011", B"11011100",
3761
 B"11110010", B"11010000", B"11011111", B"00110110", B"00001010",
3762
 B"00110010", B"00100100", B"11010100", B"00011010", B"11100101",
3763
 B"00011100", B"11010000", B"11110000", B"00011101", B"00011011",
3764
 B"11011000", B"11101111", B"00011111", B"11001110", B"00100100",
3765
 B"11010101", B"11011110", B"00011110", B"11011010", B"00101110",
3766
 B"00110111", B"11101110", B"11100000", B"11011111", B"11100101",
3767
 B"11100100", B"11100101", B"00001010", B"00101100", B"11100001",
3768
 B"00100010", B"11100010", B"11100000", B"00001001", B"00100101",
3769
 B"11100000", B"11100011", B"00010110", B"11010000", B"11001011",
3770
 B"11100100", B"11100100", B"11100110", B"00011011", B"11011111",
3771
 B"00101010", B"11010010", B"11101000", B"00010110", B"00000101",
3772
 B"00101011", B"00011100", B"11011101", B"11010111", B"00010000",
3773
 B"00100010", B"11100000", B"11101011", B"00100000", B"00011011",
3774
 B"11100001", B"00001100", B"00100101", B"11101001", B"11100011",
3775
 B"00011110", B"11010110", B"00100001", B"00011010", B"11010111",
3776
 B"11011011", B"11011101", B"11100010", B"11100010", B"00011111",
3777
 B"00010111", B"00010011", B"00011110", B"00100010", B"00011101",
3778
 B"00010111", B"00101100", B"00100110", B"11010011", B"00100000",
3779
 B"11110010", B"11100101", B"11100100", B"00011110", B"00101111",
3780
 B"00001000", B"11011001", B"00011110", B"00011100", B"11101000",
3781
 B"00100100", B"00010101", B"11101001", B"11001000", B"00011001",
3782
 B"11011000", B"00101000", B"11100011", B"11010110", B"00001110",
3783
 B"00010010", B"11101001", B"11011110", B"11101100", B"00100110",
3784
 B"00101110", B"11010010", B"00100001", B"11100101", B"11011110",
3785
 B"00101001", B"00010000", B"11111010", B"11101101", B"11100000",
3786
 B"11100010", B"11011011", B"00010101", B"11100010", B"11010001",
3787
 B"00010110", B"11011011", B"11101000", B"11011111", B"11101010",
3788
 B"11010100", B"00011011", B"11010010", B"11110000", B"11100001",
3789
 B"00101101", B"00010010", B"11011111", B"11100010", B"00110100",
3790
 B"00100001", B"00011011", B"11100110", B"00100101", B"11100100",
3791
 B"00101101", B"11011110", B"11001011", B"00110010", B"11100000",
3792
 B"00100100", B"11110011", B"11100101", B"00011000", B"11010111",
3793
 B"00011110", B"11011011", B"00101011", B"11011110", B"11011011",
3794
 B"00101111", B"00001000", B"00100000", B"00100000", B"11101000",
3795
 B"00101011", B"00011010", B"11010011", B"11011010", B"00101000",
3796
 B"11010110", B"11101011", B"11011011", B"11011001", B"00011011",
3797
 B"00011110", B"00011000", B"00101111", B"11011001", B"00100110",
3798
 B"00101101", B"00011101", B"11100001", B"00100101", B"11010111",
3799
 B"00100101", B"11101101", B"00100100", B"11010111", B"11010010",
3800
 B"11100011", B"00101110", B"00100010", B"11100010", B"00010100",
3801
 B"11001101", B"11001111", B"00100110", B"11100010", B"11011011",
3802
 B"11011000", B"11011010", B"11110101", B"00110001", B"00100010",
3803
 B"00100011", B"11110001", B"00100100", B"11011110", B"00101100",
3804
 B"11011111", B"00010001", B"11011101", B"00010011", B"11011101",
3805
 B"11011110", B"00101100", B"00100110", B"00110000", B"00001111",
3806
 B"11011010", B"00011101", B"00011100", B"11100001", B"11011011",
3807
 B"00101110", B"11011100", B"11011011", B"00100000", B"00011001",
3808
 B"00110010", B"00101011", B"11010100", B"11001100", B"00011000",
3809
 B"00100111", B"00011010", B"00010100", B"00011100", B"00011001",
3810
 B"00100100", B"00110111", B"11100100", B"00011101", B"00100011",
3811
 B"11011111", B"11011010", B"11101010", B"11100111", B"11100001",
3812
 B"00100110", B"11011101", B"11011110", B"00100011", B"11010111",
3813
 B"11010111", B"11011111", B"11011111", B"11101000", B"11100000",
3814
 B"11011011", B"11110000", B"00011010", B"00100010", B"00101101",
3815
 B"00011101", B"11010100", B"00010100", B"00101011", B"00100110",
3816
 B"11011010", B"00101000", B"11011101", B"00010110", B"00110000",
3817
 B"11011001", B"00101001", B"00011111", B"11010110", B"00010010",
3818
 B"00011000", B"11001100", B"11100011", B"11100000", B"11010001",
3819
 B"11110100", B"00101110", B"00100110", B"00100000", B"00001111",
3820
 B"11110000", B"11101111", B"11111111", B"11011101", B"00101001",
3821
 B"11011101", B"11000110", B"00010000", B"11101000", B"00101010",
3822
 B"11010000", B"11011100", B"11001000", B"00011110", B"00101101",
3823
 B"11101011", B"00010010", B"00000011", B"00010001", B"00011011",
3824
 B"00100101", B"00011000", B"00010101", B"00010010", B"11011011",
3825
 B"00011011", B"11001001", B"00010010", B"11110110", B"11100000",
3826
 B"00101101", B"00110001", B"00100001", B"00101100", B"00101110",
3827
 B"11100110", B"00100000", B"11011101", B"00100011", B"11100101",
3828
 B"00100111", B"00011001", B"11010000", B"11011001", B"00001011",
3829
 B"00011001", B"11011110", B"11011101", B"11111001", B"00100001",
3830
 B"11100011", B"11100001", B"11100110", B"11111101", B"00111010",
3831
 B"11100101", B"11101010", B"00011010", B"11100010", B"11110111",
3832
 B"11010111", B"00010100", B"00011111", B"11010110", B"00110011",
3833
 B"00011101", B"11011101", B"00011101", B"00011110", B"11011110",
3834
 B"11100111", B"00100001", B"00100110", B"11100100", B"11001111",
3835
 B"11111110", B"11011001", B"11101101", B"11101100", B"11100101",
3836
 B"11011100", B"00011111", B"11011010", B"00011101", B"11011011",
3837
 B"11110000", B"00010010", B"00011111", B"11011111", B"00000110",
3838
 B"00010101", B"00100001", B"11101000", B"00011111", B"11011000",
3839
 B"00011110", B"11100100", B"11011101", B"11010111", B"00100110",
3840
 B"00100101", B"11011111", B"00101101", B"11100100", B"00100100",
3841
 B"11101011", B"00101001", B"11101101", B"11100000", B"11101000",
3842
 B"00101110", B"00011111", B"11101001", B"00100110", B"11100111",
3843
 B"00001000", B"11010100", B"11100010", B"00101001", B"00100000",
3844
 B"11100100", B"11011000", B"00100010", B"00100101", B"00110100",
3845
 B"00100101", B"11100101", B"11011011", B"11011010", B"00011101",
3846
 B"11000111", B"00110011", B"11100010", B"11010000", B"00111010",
3847
 B"00001101", B"11011101", B"11100111", B"10111111", B"00010000",
3848
 B"00011110", B"11011100", B"00101111", B"11101010", B"11010110",
3849
 B"11010101", B"00011100", B"11011010", B"00100001", B"11100001",
3850
 B"11100011", B"00011111", B"00100100", B"00101000", B"00010100",
3851
 B"11001100", B"11111111", B"11100000", B"00101100", B"11011110",
3852
 B"00001100", B"11100101", B"00011111", B"11010000", B"11010111",
3853
 B"11011110", B"00100011", B"00010111", B"00011110", B"00100100",
3854
 B"00010000", B"11011001", B"00100110", B"11011100", B"00010010",
3855
 B"11101100", B"00101011", B"11101100", B"11100010", B"00011011",
3856
 B"11110010", B"11001011", B"11100100", B"11101111", B"11100011",
3857
 B"11011011", B"00010100", B"11011001", B"00110010", B"11100100",
3858
 B"11000101", B"00101010", B"00001001", B"11100000", B"11101001",
3859
 B"11100001", B"11101100", B"00010010", B"11101001", B"00101010",
3860
 B"11011110", B"11011001", B"00011011", B"00010111", B"00100000",
3861
 B"00100101", B"11100100", B"11100011", B"11101000", B"11100100",
3862
 B"11101100", B"11100001", B"00010011", B"00100111", B"00110111",
3863
 B"00101011", B"11011011", B"11011010", B"11101000", B"11001011",
3864
 B"00001001", B"00110001", B"00100000", B"00011011", B"00100001",
3865
 B"00100111", B"11100101", B"11011111", B"00001000", B"00100100",
3866
 B"11010000", B"11001011", B"00001011", B"11010100", B"11110100",
3867
 B"11010110", B"11100011", B"00101001", B"11001011", B"00100001",
3868
 B"00100001", B"00010001", B"00101010", B"00101111", B"00001100",
3869
 B"00001101", B"00011110", B"00100010", B"00101011", B"11011010",
3870
 B"11011010", B"00101011", B"11011011", B"11110101", B"11100111",
3871
 B"11100011", B"00100010", B"11011110", B"00101010", B"00011000",
3872
 B"11001100", B"00010011", B"00100101", B"11101111", B"11010001",
3873
 B"00011000", B"00001110", B"11010010", B"11011100", B"11101010",
3874
 B"11001011", B"11101010", B"11100101", B"00010011", B"00010011",
3875
 B"11100000", B"11011101", B"11010011", B"11100101", B"11010111",
3876
 B"00110101", B"11010100", B"00011110", B"00011100", B"11100000",
3877
 B"00011111", B"00100101", B"11011111", B"00100000", B"00010001",
3878
 B"11101101", B"00111101", B"00100110", B"11001110", B"11101111",
3879
 B"00100010", B"11001001", B"00101001", B"11010010", B"11100100",
3880
 B"11010011", B"00010011", B"00001010", B"11111101", B"00100111",
3881
 B"00111010", B"11001011", B"00100111", B"00100000", B"11100101",
3882
 B"11100101", B"00010001", B"11100110", B"11101001", B"00011010",
3883
 B"11101101", B"00010111", B"11010000", B"11100110", B"00011001",
3884
 B"00111001", B"00011001", B"00100011", B"00111001", B"00010110",
3885
 B"00011000", B"00011110", B"00100110", B"11110101", B"11110110",
3886
 B"11101010", B"00010000", B"00010011", B"11100001", B"00101011",
3887
 B"11101010", B"00010101", B"11100001", B"11110011", B"00100111",
3888
 B"11100110", B"00000000", B"11010010", B"11110001", B"11100111",
3889
 B"11010111", B"11011101", B"11010101", B"00101111", B"00110110",
3890
 B"00010011", B"00100010", B"11100101", B"11001110", B"00011101",
3891
 B"11011111", B"00100110", B"11010110", B"11010100", B"00101011",
3892
 B"00100000", B"00010011", B"11010110", B"11100111", B"00010101",
3893
 B"00010011", B"11010100", B"11010110", B"00011001", B"11011111",
3894
 B"11100011", B"11011100", B"11001100", B"00101010", B"11000111",
3895
 B"00001011", B"11010001", B"11101100", B"00100010", B"00011110",
3896
 B"00101001", B"00110011", B"11100100", B"11101001", B"11100010",
3897
 B"11100001", B"11101011", B"00010010", B"00110001", B"11100000",
3898
 B"00100101", B"11110001", B"11011111", B"00011101", B"11101000",
3899
 B"11100011", B"11001101", B"00010001", B"00011110", B"11101100",
3900
 B"11100110", B"11011100", B"00011000", B"11100010", B"00100101",
3901
 B"11001111", B"11100110", B"00010110", B"00100100", B"00100110",
3902
 B"00011100", B"11011010", B"00100111", B"11011111", B"00011100",
3903
 B"11001111", B"11000100", B"11011011", B"00011000", B"11101111",
3904
 B"00101001", B"11011011", B"11100001", B"00010100", B"00101100",
3905
 B"00101011", B"11011111", B"11010111", B"00100000", B"00100101",
3906
 B"11011000", B"11100001", B"00100001", B"00011111", B"11001100",
3907
 B"00101100", B"00000101", B"11101000", B"11101001", B"00100100",
3908
 B"11011110", B"00010001", B"11101001", B"11011111", B"11110010",
3909
 B"00011010", B"00101011", B"11010100", B"00100000", B"00011101",
3910
 B"11110100", B"11011110", B"00010010", B"00100010", B"11011000",
3911
 B"11010110", B"00101000", B"00101010", B"00110011", B"11001100",
3912
 B"00101110", B"11100111", B"00100010", B"11101010", B"11101000",
3913
 B"11010010", B"00100010", B"00001010", B"00011011", B"00010111",
3914
 B"11100110", B"11010000", B"00100010", B"00010011", B"00100000",
3915
 B"11011010", B"00011110", B"11011101", B"00100101", B"11011111",
3916
 B"11100100", B"00100001", B"11010111", B"11100010", B"11010011",
3917
 B"00011100", B"00100100", B"11001111", B"00100010", B"11110101",
3918
 B"11100000", B"11010111", B"11100010", B"00100000", B"11100011",
3919
 B"00100000", B"00011011", B"11011111", B"00101011", B"11101011",
3920
 B"11100010", B"11011111", B"00100111", B"00100001", B"11010001",
3921
 B"00011111", B"11011111", B"00011010", B"11010001", B"11001111",
3922
 B"00011110", B"00010110", B"11110110", B"00101101", B"11011001",
3923
 B"00001111", B"11001000", B"11110000", B"00101001", B"00011001",
3924
 B"00011100", B"00100110", B"00100011", B"11100000", B"00101110",
3925
 B"11101010", B"00100111", B"11101011", B"00101010", B"00011110",
3926
 B"11011000", B"11000001", B"00010110", B"00100001", B"11011110",
3927
 B"11001111", B"11011101", B"11100100", B"11100010", B"00100010",
3928
 B"00100110", B"11101000", B"00100100", B"11001110", B"00101111",
3929
 B"00101111", B"00011110", B"00001001", B"00110011", B"00100010",
3930
 B"00101111", B"00010100", B"11010100", B"11011110", B"00101101",
3931
 B"00100110", B"00100010", B"00100000", B"11101000", B"11101101",
3932
 B"00101000", B"11011011", B"11111100", B"11001111", B"11100000",
3933
 B"00100010", B"11101101", B"00100010", B"00011001", B"00011001",
3934
 B"00110011", B"11011111", B"00101001", B"11101000", B"00011010",
3935
 B"11011111", B"11101110", B"00101101", B"00011101", B"00100110",
3936
 B"11101001", B"00011101", B"11100000", B"00100111", B"00011100",
3937
 B"00011110", B"11100111", B"00110000", B"00011010", B"11111001",
3938
 B"11011111", B"00100000", B"01000100", B"00011010", B"00100110",
3939
 B"00100101", B"00100011", B"00100001", B"00011101", B"00100111",
3940
 B"11100110", B"11011001", B"11100011", B"11001000", B"00101101",
3941
 B"00011010", B"00100111", B"00011110", B"00101110", B"00101000",
3942
 B"11100111", B"00100110", B"00110001", B"11100000", B"11011111",
3943
 B"00100100", B"11101110", B"11100101", B"11011101", B"00100100",
3944
 B"00100111", B"11001111", B"00010001", B"11011110", B"00100001",
3945
 B"11011011", B"11100001", B"11011111", B"11101000", B"00011010",
3946
 B"11100001", B"00010101", B"11110100", B"11010101", B"00101111",
3947
 B"11101101", B"00101100", B"11101101", B"11100000", B"00101000",
3948
 B"00100111", B"00101111", B"11011100", B"11101101", B"00100001",
3949
 B"00101101", B"11000111", B"11101000", B"11110010", B"11100111",
3950
 B"00011111", B"11101100", B"00010100", B"11100011", B"11011111",
3951
 B"00010011", B"11001010", B"00100000", B"00011001", B"11101110",
3952
 B"11101101", B"11010111", B"11101001", B"11010011", B"00101100",
3953
 B"00011111", B"00100001", B"11000111", B"00100100", B"11100000",
3954
 B"00101110", B"11101111", B"00110011", B"11110011", B"11100110",
3955
 B"11010010", B"11100100", B"00010100", B"11100110", B"00010110",
3956
 B"11010111", B"00100110", B"11011101", B"11001101", B"11011110",
3957
 B"00100001", B"00011111", B"11100000", B"00101010", B"11110001",
3958
 B"00011101", B"11101110", B"11100110", B"11101101", B"00010000",
3959
 B"00110001", B"00100110", B"11101000", B"11100001", B"11010100",
3960
 B"11111100", B"00011010", B"11100111", B"00010101", B"00100001",
3961
 B"00011010", B"11011111", B"00011000", B"00011001", B"11000101",
3962
 B"11101001", B"00001111", B"00100100", B"11100001", B"00011000",
3963
 B"00011010", B"11100110", B"00011000", B"00010110", B"11011101",
3964
 B"00101010", B"00110001", B"11100000", B"11101110", B"00100000",
3965
 B"00001101", B"11010110", B"11011110", B"11100000", B"00011100",
3966
 B"11001111", B"11011100", B"11101000", B"00100100", B"00011101",
3967
 B"11100000", B"11010100", B"11011011", B"11110110", B"00100000",
3968
 B"00100111", B"11100101", B"00010010", B"11101101", B"11001111",
3969
 B"11001101", B"11011110", B"00101010", B"00010100", B"11100111",
3970
 B"00101001", B"11100100", B"11100001", B"11010110", B"11101101",
3971
 B"00000000", B"00011011", B"00010111", B"00010101", B"00010010",
3972
 B"11101010", B"11101100", B"00101101", B"11101001", B"00101011",
3973
 B"11100010", B"11010101", B"00100011", B"11011001", B"11010010",
3974
 B"11100100", B"00100110", B"00001111", B"11011001", B"00100111",
3975
 B"11100011", B"11101000", B"00010101", B"00100111", B"11010110",
3976
 B"11010001", B"11100100", B"11010010", B"11011010", B"11100010",
3977
 B"00011110", B"11100101", B"11110010", B"11001101", B"00100011",
3978
 B"00100101", B"11011011", B"00011010", B"11001101", B"00000101",
3979
 B"00010111", B"11101010", B"00101110", B"00100101", B"11101100",
3980
 B"00110011", B"00011010", B"11100000", B"11011010", B"00010011",
3981
 B"00001100", B"11100101", B"11110100", B"11101100", B"00011000",
3982
 B"00010010", B"11011000", B"11100001", B"11000110", B"11011111",
3983
 B"11100011", B"00101010", B"00100110", B"11101001", B"11011110",
3984
 B"00100011", B"00010100", B"11110011", B"11011111", B"11101110",
3985
 B"11101110", B"11010110", B"11101100", B"00101110", B"00011011",
3986
 B"00011111", B"00011101", B"00010010", B"11010100", B"00011001",
3987
 B"00011000", B"11011111", B"00100101", B"00100011", B"11100011",
3988
 B"11101000", B"00011110", B"00011011", B"00100110", B"11100000",
3989
 B"00100000", B"11100111", B"00010011", B"11011000", B"11101001",
3990
 B"11011101", B"00101011", B"00000111", B"11100011", B"00011111",
3991
 B"11011010", B"11011101", B"00111010", B"00100100", B"11010111",
3992
 B"11100100", B"11100010", B"11101111", B"11101101", B"11101011",
3993
 B"11001001", B"11011110", B"11011110", B"00110000", B"00101101",
3994
 B"00100111", B"00100011", B"11001111", B"11101111", B"11011111",
3995
 B"00100001", B"00100100", B"11011100", B"00101111", B"11100011",
3996
 B"11010111", B"11010110", B"00101011", B"00100000", B"00011110",
3997
 B"00010011", B"11100000", B"11011110", B"11100010", B"00011110",
3998
 B"11010101", B"11010001", B"11100100", B"00100011", B"00011100",
3999
 B"11110001", B"00011001", B"11011000", B"11011011", B"11011110",
4000
 B"11101001", B"00100100", B"11011101", B"00101111", B"11010101",
4001
 B"00100011", B"00010110", B"11011100", B"11101011", B"11011000",
4002
 B"11110001", B"11101011", B"00011010", B"00101111", B"00101001",
4003
 B"00101111", B"00011001", B"00011110", B"00010100", B"00100111",
4004
 B"00011000", B"11011001", B"00011111", B"00100111", B"11101100",
4005
 B"00010100", B"00010101", B"11011011", B"11101000", B"00010100",
4006
 B"11011011", B"00100000", B"11101100", B"11100100", B"00100101",
4007
 B"00100001", B"00100011", B"11100001", B"00010000", B"11011010",
4008
 B"11100000", B"11110101", B"00101010", B"00100100", B"00110100",
4009
 B"00011001", B"11100011", B"00101001", B"00001011", B"11100100",
4010
 B"11011011", B"11111100", B"11100000", B"00001101", B"00100001",
4011
 B"11010110", B"11100101", B"11010110", B"11101100", B"11011100",
4012
 B"00011111", B"11100000", B"11011100", B"00100001", B"11101110",
4013
 B"11110101", B"11010010", B"11100011", B"00011001", B"11011010",
4014
 B"11001111", B"11100001", B"11010000", B"00011011", B"11100111",
4015
 B"00100100", B"11101001", B"11100110", B"11101100", B"11010111",
4016
 B"00011001", B"00101110", B"00001101", B"00101100", B"00010100",
4017
 B"11000110", B"11011011", B"11101010", B"11101100", B"00101000",
4018
 B"11100101", B"00011010", B"00101010", B"11011110", B"11011011",
4019
 B"00011110", B"11010100", B"11011010", B"11010011", B"11111111",
4020
 B"11011111", B"00110000", B"00111001", B"00011111", B"11010100",
4021
 B"00011010", B"11101011", B"00101010", B"11101110", B"11011010",
4022
 B"00100100", B"11011110", B"00100000", B"11010010", B"11011011",
4023
 B"00011100", B"00101110", B"00010101", B"11010101", B"11100000",
4024
 B"00101000", B"00100000", B"11010011", B"11100000", B"00100110",
4025
 B"11100111", B"11101011", B"11010111", B"11011000", B"00010111",
4026
 B"11100001", B"00100111", B"11010010", B"11101101", B"00100111",
4027
 B"11101110", B"00100000", B"11010100", B"11101100", B"00011010",
4028
 B"11100000", B"00100111", B"11110000", B"11101110", B"11110000",
4029
 B"00010101", B"00001011", B"11001111", B"00001110", B"00110010",
4030
 B"00100101", B"00100000", B"00101011", B"00101010", B"00101000",
4031
 B"00011010", B"00100010", B"00101001", B"00011011", B"00011011",
4032
 B"00100010", B"00100001", B"00001010", B"00100000", B"11001100",
4033
 B"11110000", B"00011111", B"11100011", B"00101010", B"11011100",
4034
 B"11100000", B"00010100", B"00011110", B"11010011", B"00011111",
4035
 B"00100000", B"11011100", B"00011011", B"00011111", B"11100100",
4036
 B"11011001", B"11010110", B"11100101", B"00001110", B"00101001",
4037
 B"11101010", B"00100100", B"11010111", B"00001001", B"11100000",
4038
 B"11011010", B"00100110", B"11011100", B"11101010", B"11010110",
4039
 B"11100000", B"11100000", B"00011010", B"11100011", B"00101000",
4040
 B"11010110", B"11100111", B"00011000", B"00010011", B"00000010",
4041
 B"11101011", B"00100010", B"00110000", B"11100010", B"00100110",
4042
 B"00011111", B"11010100", B"11011110", B"11000000", B"11111000",
4043
 B"11110001", B"00011110", B"00100101", B"00100101", B"00100110",
4044
 B"11010100", B"00001110", B"11010011", B"00011001", B"11010001",
4045
 B"11100111", B"00100010", B"00100111", B"11010110", B"11011010",
4046
 B"00011100", B"11010100", B"00100000", B"11010000", B"11010101",
4047
 B"00011100", B"11100010", B"11100000", B"00001111", B"11101001",
4048
 B"00011111", B"11011101", B"11100011", B"00010010", B"00011011",
4049
 B"11100000", B"11100011", B"00111010", B"11011011", B"11100111",
4050
 B"11010000", B"11100100", B"11011010", B"11100111", B"00011100",
4051
 B"00100111", B"00100000", B"00110100", B"11101011", B"11001111"
4052
 
4053
);
4054
 
4055
signal input_counter : integer range 0 to 19999 := 0;
4056
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4057
signal clk : bit;
4058
 
4059
begin
4060
 
4061
process (clk, clear)
4062
begin
4063
if (clear = '1') then
4064
    rxin <= (others => '0');
4065
elsif (clk = '1' and clk'event) then
4066
    rxin <= input_bank(input_counter);
4067
end if;
4068
end process;
4069
 
4070
process (clk, clear)
4071
begin
4072
if (clear = '1') then
4073
    input_counter <= 0;
4074
elsif (clk = '1' and clk'event) then
4075
    if (input_counter < 19999) then
4076
    input_counter <= input_counter + 1;
4077
    else
4078
    input_counter <= 0;
4079
    end if;
4080
end if;
4081
end process;
4082
 
4083
rom_pos <= input_counter;
4084
 
4085
process (clk, clear)
4086
begin
4087
if (clear = '1') then
4088
    start_fifo <= B"0100_0000";
4089
elsif ( clk = '1' and clk'event) then
4090
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4091
end if;
4092
end process;
4093
 
4094
clk   <= clock;
4095
start <= start_fifo (7);
4096
 
4097
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.