OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [senddata.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arif_endro
-- ------------------------------------------------------------------------
2
-- Copyright (C) 2005 Arif Endro Nugroho
3
-- All rights reserved.
4
-- 
5
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8
-- 
9
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14
-- 
15
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26
-- 
27
-- End Of License.
28
-- ------------------------------------------------------------------------
29
 
30 9 arif_endro
library ieee;
31
use ieee.std_logic_1164.all;
32
 
33
entity reference is
34
   port (
35
      clear    : in  bit;
36
      start    : in  bit;
37
      y0       : in  bit;
38
      y1       : in  bit;
39
      y2       : in  bit;
40
      y3       : in  bit;
41
      senddata : out bit_vector (3 downto 0);
42
      match    : out bit_vector (3 downto 0)
43
      );
44
end reference;
45
 
46
architecture verify of reference is
47
 
48
type senddata_rom is array (000 to 2499) of bit_vector (3 downto 0);
49
constant senddata_tbl : senddata_rom :=
50
(
51
 
52
 B"0010", B"0001", B"1101", B"1011",
53
 B"1000", B"1011", B"1101", B"1100",
54
 B"0010", B"0011", B"1100", B"1010",
55
 B"1001", B"1111", B"0110", B"0010",
56
 B"1100", B"1000", B"0001", B"1010",
57
 B"0110", B"1011", B"0101", B"0001",
58
 B"1100", B"1001", B"1100", B"1000",
59
 B"0110", B"1101", B"0000", B"0100",
60
 B"0000", B"0110", B"1101", B"1011",
61
 B"0000", B"1001", B"1001", B"1000",
62
 B"0110", B"1100", B"0110", B"1101",
63
 B"1101", B"1011", B"0101", B"1101",
64
 B"1111", B"0110", B"1000", B"0010",
65
 B"1111", B"0110", B"0000", B"1110",
66
 B"0001", B"0101", B"0111", B"1111",
67
 B"0100", B"1010", B"1011", B"0111",
68
 B"0011", B"1101", B"1000", B"1000",
69
 B"0001", B"1000", B"1110", B"0110",
70
 B"1111", B"1101", B"0000", B"0000",
71
 B"1111", B"1010", B"1001", B"0011",
72
 B"1110", B"0010", B"0010", B"0011",
73
 B"1000", B"1101", B"1101", B"0000",
74
 B"0000", B"1001", B"1010", B"1010",
75
 B"0000", B"0111", B"0001", B"1111",
76
 B"1010", B"0110", B"0100", B"0000",
77
 B"1011", B"1100", B"0000", B"0011",
78
 B"1111", B"0001", B"0000", B"0000",
79
 B"1011", B"0100", B"1101", B"0011",
80
 B"0001", B"1000", B"0101", B"0000",
81
 B"0100", B"1111", B"0100", B"0010",
82
 B"0101", B"0000", B"0001", B"0100",
83
 B"1000", B"0000", B"1101", B"1100",
84
 B"1110", B"1100", B"1001", B"0010",
85
 B"0101", B"0001", B"0101", B"1001",
86
 B"0011", B"1010", B"0111", B"1101",
87
 B"0010", B"1111", B"1010", B"0011",
88
 B"0011", B"0010", B"0111", B"0010",
89
 B"0000", B"0001", B"0110", B"1100",
90
 B"0001", B"0110", B"1110", B"0110",
91
 B"1101", B"0001", B"1101", B"0001",
92
 B"0011", B"1000", B"1001", B"1010",
93
 B"0110", B"1101", B"0110", B"1010",
94
 B"0011", B"0010", B"1110", B"1101",
95
 B"1011", B"0010", B"1110", B"1000",
96
 B"0010", B"1111", B"0010", B"0110",
97
 B"1110", B"1100", B"0000", B"0000",
98
 B"0000", B"0110", B"1110", B"0101",
99
 B"1010", B"1000", B"0100", B"0001",
100
 B"0101", B"0110", B"1101", B"1011",
101
 B"1000", B"1111", B"1111", B"1101",
102
 B"0001", B"1011", B"1000", B"0011",
103
 B"0010", B"0100", B"0010", B"0010",
104
 B"0010", B"0101", B"0111", B"1101",
105
 B"1000", B"1101", B"1001", B"1001",
106
 B"0101", B"0011", B"0100", B"0000",
107
 B"1100", B"1100", B"1000", B"1001",
108
 B"0000", B"1110", B"0110", B"1101",
109
 B"0010", B"0000", B"1111", B"1010",
110
 B"1010", B"0100", B"1101", B"0010",
111
 B"1001", B"1001", B"1011", B"1000",
112
 B"0000", B"1001", B"1110", B"0000",
113
 B"0110", B"1000", B"0101", B"0010",
114
 B"1100", B"0100", B"1000", B"0110",
115
 B"0100", B"1111", B"0011", B"0101",
116
 B"0000", B"1100", B"0110", B"1110",
117
 B"1111", B"1000", B"1000", B"0110",
118
 B"1000", B"1000", B"1100", B"1000",
119
 B"1100", B"0011", B"0110", B"1001",
120
 B"0101", B"0011", B"0111", B"0100",
121
 B"0010", B"1011", B"1001", B"0111",
122
 B"1110", B"0001", B"1001", B"0110",
123
 B"1001", B"0111", B"1111", B"0010",
124
 B"1010", B"0011", B"0101", B"1010",
125
 B"0000", B"0000", B"0001", B"0110",
126
 B"1111", B"0010", B"0100", B"1100",
127
 B"0100", B"0001", B"0000", B"1010",
128
 B"1001", B"1011", B"0101", B"1101",
129
 B"1100", B"1010", B"0010", B"1101",
130
 B"1111", B"1000", B"0111", B"0101",
131
 B"0010", B"1101", B"0111", B"1110",
132
 B"1011", B"0110", B"0101", B"1010",
133
 B"1001", B"0001", B"0001", B"1000",
134
 B"0100", B"1010", B"1111", B"1100",
135
 B"1000", B"0101", B"1010", B"0011",
136
 B"0010", B"1010", B"1010", B"0110",
137
 B"0101", B"0000", B"0011", B"0011",
138
 B"0110", B"0011", B"1100", B"1111",
139
 B"0001", B"1101", B"1011", B"1111",
140
 B"1100", B"1000", B"0010", B"1100",
141
 B"0010", B"1100", B"0001", B"1101",
142
 B"0111", B"0001", B"1110", B"1010",
143
 B"0010", B"1111", B"0101", B"1011",
144
 B"1110", B"0001", B"0001", B"1000",
145
 B"1000", B"1000", B"1011", B"0101",
146
 B"1000", B"1011", B"0011", B"0001",
147
 B"1110", B"1111", B"0111", B"0010",
148
 B"0101", B"0110", B"0000", B"1110",
149
 B"0000", B"0110", B"1011", B"0101",
150
 B"0001", B"0001", B"1111", B"1100",
151
 B"1110", B"0000", B"0101", B"1011",
152
 B"1011", B"0000", B"0110", B"0111",
153
 B"0111", B"1110", B"0011", B"0000",
154
 B"1100", B"0110", B"0000", B"1000",
155
 B"0010", B"0100", B"1101", B"0000",
156
 B"0000", B"0001", B"0100", B"1101",
157
 B"0011", B"1001", B"0110", B"1001",
158
 B"0000", B"0000", B"0001", B"1011",
159
 B"1000", B"1001", B"0000", B"1000",
160
 B"1101", B"0111", B"0110", B"0100",
161
 B"1011", B"0010", B"0000", B"0100",
162
 B"1010", B"1101", B"1011", B"1100",
163
 B"0111", B"1111", B"0110", B"0011",
164
 B"0001", B"0100", B"0001", B"1010",
165
 B"1011", B"0110", B"1111", B"1010",
166
 B"1110", B"0010", B"1000", B"0100",
167
 B"0010", B"1010", B"1010", B"1010",
168
 B"0001", B"1010", B"0000", B"1010",
169
 B"0101", B"1011", B"0000", B"0100",
170
 B"1000", B"1111", B"0100", B"1010",
171
 B"1111", B"1000", B"1110", B"0111",
172
 B"1110", B"1011", B"0000", B"1100",
173
 B"0011", B"0000", B"1011", B"1100",
174
 B"0010", B"1000", B"1011", B"0110",
175
 B"1000", B"1111", B"1000", B"0110",
176
 B"1100", B"1001", B"1101", B"1001",
177
 B"0010", B"0100", B"0101", B"0101",
178
 B"1010", B"0000", B"1000", B"1010",
179
 B"1100", B"0110", B"0110", B"1100",
180
 B"0011", B"0010", B"0000", B"0100",
181
 B"0010", B"1010", B"1010", B"0101",
182
 B"0110", B"1000", B"0001", B"0100",
183
 B"1111", B"0011", B"0111", B"1001",
184
 B"0111", B"0110", B"1100", B"1010",
185
 B"1001", B"0011", B"0000", B"0010",
186
 B"0110", B"0000", B"1100", B"1101",
187
 B"0100", B"0010", B"1110", B"0011",
188
 B"0001", B"1011", B"0000", B"1010",
189
 B"0011", B"0011", B"0111", B"0100",
190
 B"0001", B"1100", B"1000", B"1101",
191
 B"0100", B"1101", B"0010", B"1011",
192
 B"1010", B"1011", B"0011", B"1000",
193
 B"1000", B"0010", B"1010", B"0010",
194
 B"0110", B"1100", B"1110", B"0010",
195
 B"0110", B"0001", B"0111", B"1101",
196
 B"1101", B"1111", B"0010", B"0001",
197
 B"0011", B"0110", B"0100", B"0101",
198
 B"1001", B"0011", B"0000", B"0101",
199
 B"1111", B"0010", B"1110", B"1010",
200
 B"1111", B"0011", B"1101", B"0011",
201
 B"0000", B"0101", B"0011", B"0100",
202
 B"0101", B"0111", B"1100", B"0101",
203
 B"0010", B"1101", B"0010", B"1100",
204
 B"1110", B"1110", B"0011", B"0101",
205
 B"1001", B"0111", B"0001", B"0011",
206
 B"1000", B"0010", B"0001", B"1000",
207
 B"1010", B"0110", B"0101", B"0010",
208
 B"0101", B"0010", B"1011", B"1111",
209
 B"1110", B"0100", B"0110", B"1001",
210
 B"0110", B"0111", B"0111", B"1000",
211
 B"0001", B"0111", B"1101", B"1110",
212
 B"1011", B"1111", B"0010", B"0100",
213
 B"1110", B"0111", B"0000", B"1011",
214
 B"0001", B"0010", B"0000", B"1011",
215
 B"0000", B"0101", B"0001", B"1111",
216
 B"0000", B"1101", B"1101", B"0010",
217
 B"1011", B"1110", B"1110", B"0001",
218
 B"0010", B"1011", B"0011", B"1101",
219
 B"0101", B"1011", B"1100", B"1100",
220
 B"1001", B"0101", B"0000", B"0111",
221
 B"1111", B"1000", B"0010", B"0100",
222
 B"1100", B"1001", B"0111", B"1001",
223
 B"0101", B"0000", B"1110", B"1000",
224
 B"1011", B"0111", B"0101", B"1001",
225
 B"0101", B"0000", B"1100", B"0111",
226
 B"1001", B"1110", B"1001", B"1011",
227
 B"1010", B"0011", B"1101", B"1101",
228
 B"0101", B"0101", B"1110", B"1011",
229
 B"1011", B"1101", B"0111", B"0101",
230
 B"1010", B"1101", B"1101", B"1000",
231
 B"1101", B"0001", B"1110", B"0101",
232
 B"0100", B"0001", B"0010", B"1011",
233
 B"1001", B"1001", B"1110", B"1101",
234
 B"0101", B"0110", B"0001", B"1101",
235
 B"0011", B"0111", B"0110", B"0001",
236
 B"0011", B"1111", B"0101", B"1101",
237
 B"1111", B"0000", B"1000", B"1001",
238
 B"1111", B"1100", B"0110", B"0001",
239
 B"1100", B"1010", B"1111", B"0011",
240
 B"1000", B"0100", B"1101", B"0100",
241
 B"0100", B"0111", B"1011", B"1110",
242
 B"0101", B"0101", B"1011", B"1001",
243
 B"0001", B"0110", B"0110", B"1111",
244
 B"1110", B"1100", B"1100", B"1011",
245
 B"1000", B"1010", B"1100", B"1001",
246
 B"0101", B"0010", B"0010", B"0011",
247
 B"1001", B"0010", B"0010", B"0111",
248
 B"1111", B"0100", B"0001", B"0000",
249
 B"1001", B"1100", B"0010", B"1010",
250
 B"0100", B"0110", B"0010", B"0001",
251
 B"1111", B"0100", B"0001", B"0101",
252
 B"0001", B"0010", B"0111", B"0010",
253
 B"1110", B"0100", B"0001", B"1100",
254
 B"0111", B"1100", B"1010", B"0011",
255
 B"1010", B"1110", B"0100", B"0101",
256
 B"0001", B"0000", B"0100", B"1000",
257
 B"1001", B"0010", B"0100", B"0000",
258
 B"0011", B"1111", B"1010", B"0010",
259
 B"0110", B"0111", B"1110", B"0101",
260
 B"1111", B"0110", B"0010", B"0011",
261
 B"1101", B"0001", B"1000", B"1101",
262
 B"0001", B"1111", B"1110", B"1111",
263
 B"1011", B"1100", B"0001", B"0001",
264
 B"1111", B"0000", B"1000", B"0000",
265
 B"1111", B"1110", B"0011", B"0110",
266
 B"1000", B"0101", B"0100", B"1000",
267
 B"0001", B"0001", B"1001", B"0100",
268
 B"1000", B"1101", B"1100", B"0000",
269
 B"1101", B"1111", B"1011", B"1011",
270
 B"1110", B"1100", B"0010", B"0111",
271
 B"0000", B"0000", B"1101", B"1101",
272
 B"0010", B"0000", B"1000", B"0100",
273
 B"1001", B"1001", B"1111", B"1001",
274
 B"0001", B"1110", B"1110", B"1111",
275
 B"0111", B"1010", B"0000", B"1100",
276
 B"0111", B"1110", B"1010", B"0100",
277
 B"0100", B"0010", B"1101", B"0000",
278
 B"1100", B"0011", B"1100", B"0011",
279
 B"0010", B"1001", B"0010", B"1011",
280
 B"0110", B"1010", B"1011", B"1110",
281
 B"0001", B"0110", B"1001", B"0000",
282
 B"1111", B"0100", B"0100", B"0011",
283
 B"1101", B"0110", B"1101", B"0101",
284
 B"0001", B"1100", B"1101", B"0100",
285
 B"0001", B"1110", B"0011", B"1101",
286
 B"1101", B"0000", B"1110", B"0010",
287
 B"1001", B"0110", B"1000", B"0000",
288
 B"1000", B"1010", B"1011", B"1000",
289
 B"0110", B"0010", B"1010", B"1111",
290
 B"1000", B"0011", B"1010", B"0000",
291
 B"0010", B"1011", B"1110", B"1000",
292
 B"0011", B"0100", B"0101", B"1001",
293
 B"0100", B"0111", B"1110", B"0111",
294
 B"0011", B"0111", B"0100", B"1100",
295
 B"1010", B"0110", B"1011", B"1111",
296
 B"1110", B"1011", B"0111", B"1110",
297
 B"0011", B"0011", B"0011", B"0001",
298
 B"0110", B"1110", B"1000", B"0000",
299
 B"1100", B"0001", B"0100", B"0001",
300
 B"0010", B"1001", B"0011", B"0100",
301
 B"0011", B"0011", B"0111", B"1001",
302
 B"1111", B"1101", B"0000", B"0000",
303
 B"1010", B"0000", B"0110", B"0110",
304
 B"0001", B"1100", B"1111", B"1100",
305
 B"0000", B"1001", B"1101", B"0001",
306
 B"1001", B"0101", B"0101", B"0101",
307
 B"1000", B"0101", B"0001", B"1100",
308
 B"1111", B"1111", B"1000", B"1110",
309
 B"1000", B"0101", B"0011", B"1111",
310
 B"0110", B"1001", B"1010", B"0011",
311
 B"1101", B"1011", B"0010", B"1100",
312
 B"0000", B"0101", B"0000", B"0011",
313
 B"1110", B"1001", B"0111", B"0110",
314
 B"0110", B"0011", B"1010", B"0000",
315
 B"1000", B"0111", B"0111", B"1101",
316
 B"1001", B"0001", B"1011", B"1100",
317
 B"1101", B"0110", B"1101", B"0010",
318
 B"1010", B"0001", B"0001", B"0111",
319
 B"0001", B"1011", B"1100", B"1101",
320
 B"0010", B"0001", B"0110", B"1101",
321
 B"1111", B"0001", B"0011", B"0001",
322
 B"1010", B"0100", B"0001", B"1111",
323
 B"0011", B"1010", B"1011", B"1000",
324
 B"1101", B"0011", B"0010", B"0001",
325
 B"1110", B"0101", B"1111", B"0101",
326
 B"1000", B"1001", B"0101", B"1011",
327
 B"0111", B"1111", B"0001", B"0100",
328
 B"1111", B"1000", B"1000", B"0111",
329
 B"0101", B"0011", B"0001", B"0000",
330
 B"0101", B"1101", B"1110", B"0001",
331
 B"0110", B"1011", B"0110", B"0000",
332
 B"1100", B"0001", B"1100", B"1101",
333
 B"1111", B"0111", B"0001", B"0100",
334
 B"0100", B"1100", B"1100", B"1101",
335
 B"1010", B"1011", B"1010", B"1011",
336
 B"1101", B"0100", B"0100", B"0011",
337
 B"1111", B"1010", B"0110", B"1001",
338
 B"1111", B"0110", B"0110", B"0000",
339
 B"1010", B"0111", B"0111", B"0011",
340
 B"0101", B"1001", B"0000", B"0111",
341
 B"0110", B"1100", B"0001", B"1100",
342
 B"1010", B"0101", B"0000", B"0010",
343
 B"1111", B"0011", B"1001", B"1000",
344
 B"0101", B"0110", B"1001", B"1110",
345
 B"0111", B"1011", B"1000", B"0001",
346
 B"1001", B"1110", B"1011", B"0101",
347
 B"0001", B"1111", B"1111", B"0010",
348
 B"1101", B"0010", B"0010", B"0001",
349
 B"0100", B"0100", B"1101", B"1001",
350
 B"1001", B"1100", B"1000", B"1001",
351
 B"0010", B"0111", B"1010", B"0011",
352
 B"0010", B"1011", B"0011", B"0110",
353
 B"1010", B"0111", B"0010", B"0111",
354
 B"0011", B"0011", B"0100", B"1110",
355
 B"1110", B"1100", B"0001", B"0011",
356
 B"0000", B"1010", B"1110", B"0010",
357
 B"1001", B"1000", B"1100", B"1000",
358
 B"0010", B"0001", B"0110", B"0100",
359
 B"1011", B"1000", B"1111", B"1001",
360
 B"0001", B"1101", B"0001", B"0000",
361
 B"0101", B"0111", B"1001", B"1011",
362
 B"1000", B"0111", B"1001", B"1000",
363
 B"0011", B"1100", B"1000", B"1111",
364
 B"1010", B"0111", B"0001", B"0101",
365
 B"1001", B"1111", B"1101", B"1010",
366
 B"0100", B"0010", B"0101", B"1010",
367
 B"1011", B"0100", B"1000", B"0110",
368
 B"1001", B"1100", B"1000", B"1100",
369
 B"0011", B"1001", B"0011", B"1101",
370
 B"1100", B"0000", B"1010", B"1000",
371
 B"1001", B"0111", B"1001", B"1100",
372
 B"0000", B"0101", B"0101", B"0010",
373
 B"1011", B"0101", B"1100", B"0101",
374
 B"1001", B"1010", B"1000", B"1100",
375
 B"1000", B"1101", B"1101", B"0001",
376
 B"0110", B"0110", B"1011", B"1010",
377
 B"0010", B"1100", B"0111", B"0010",
378
 B"0110", B"0001", B"0010", B"1111",
379
 B"0110", B"0101", B"1111", B"0011",
380
 B"1110", B"0000", B"1010", B"0110",
381
 B"1101", B"0011", B"1010", B"0111",
382
 B"0000", B"0110", B"0010", B"0001",
383
 B"0100", B"1011", B"0011", B"0111",
384
 B"0100", B"1010", B"0111", B"1110",
385
 B"1100", B"1110", B"1101", B"0011",
386
 B"0111", B"0010", B"0000", B"1111",
387
 B"0010", B"1100", B"0110", B"0110",
388
 B"0000", B"0000", B"1010", B"1101",
389
 B"0100", B"0111", B"0001", B"1010",
390
 B"0000", B"0011", B"1011", B"1010",
391
 B"1100", B"1000", B"0101", B"1110",
392
 B"1101", B"1001", B"1110", B"1111",
393
 B"1110", B"0111", B"1101", B"1010",
394
 B"1001", B"1010", B"1111", B"0111",
395
 B"0110", B"1000", B"1010", B"0101",
396
 B"1110", B"1010", B"0000", B"0111",
397
 B"0110", B"1111", B"1000", B"1001",
398
 B"0110", B"0101", B"0010", B"1011",
399
 B"1111", B"0000", B"1100", B"0101",
400
 B"0101", B"1101", B"0111", B"0111",
401
 B"0101", B"0011", B"0101", B"0011",
402
 B"0011", B"1000", B"1101", B"1011",
403
 B"1000", B"0111", B"1010", B"1100",
404
 B"1110", B"0100", B"0011", B"1110",
405
 B"1001", B"1011", B"0100", B"1010",
406
 B"1010", B"0101", B"1011", B"0101",
407
 B"1011", B"0100", B"0110", B"0110",
408
 B"1000", B"0010", B"1111", B"0010",
409
 B"1111", B"1011", B"1000", B"0000",
410
 B"0100", B"0110", B"0001", B"0010",
411
 B"0001", B"0110", B"0100", B"1111",
412
 B"0110", B"0001", B"0010", B"1001",
413
 B"0011", B"0011", B"0100", B"0111",
414
 B"0100", B"1111", B"0100", B"0101",
415
 B"0100", B"1000", B"0011", B"1000",
416
 B"0011", B"0110", B"1001", B"0101",
417
 B"1001", B"1100", B"1011", B"0010",
418
 B"0000", B"0010", B"0010", B"1110",
419
 B"0100", B"0010", B"0100", B"0110",
420
 B"1001", B"1101", B"1010", B"1111",
421
 B"1101", B"0110", B"0100", B"0111",
422
 B"0111", B"1000", B"0111", B"1000",
423
 B"0010", B"0110", B"1000", B"1001",
424
 B"0011", B"1100", B"0010", B"0010",
425
 B"1111", B"0011", B"0000", B"1111",
426
 B"1100", B"0001", B"1000", B"0001",
427
 B"1000", B"0110", B"1010", B"1111",
428
 B"0100", B"0111", B"0010", B"0111",
429
 B"1001", B"0100", B"0001", B"1000",
430
 B"0100", B"1110", B"0010", B"1110",
431
 B"0110", B"1000", B"0110", B"0111",
432
 B"0110", B"0001", B"0110", B"0001",
433
 B"1101", B"1000", B"1111", B"1001",
434
 B"0011", B"0011", B"1011", B"1111",
435
 B"1100", B"1001", B"1101", B"1111",
436
 B"0010", B"1101", B"0010", B"1010",
437
 B"0100", B"1000", B"0000", B"0000",
438
 B"1100", B"1011", B"0100", B"0011",
439
 B"0100", B"1001", B"1001", B"0011",
440
 B"1001", B"0110", B"1111", B"1011",
441
 B"0001", B"1011", B"0000", B"0110",
442
 B"0101", B"0111", B"0101", B"1101",
443
 B"0011", B"0110", B"1100", B"1001",
444
 B"1110", B"1111", B"1101", B"0110",
445
 B"1010", B"0101", B"1000", B"1010",
446
 B"0000", B"1011", B"1100", B"1000",
447
 B"1111", B"0101", B"0111", B"1011",
448
 B"0101", B"1101", B"1110", B"0101",
449
 B"1000", B"0011", B"1101", B"1110",
450
 B"0110", B"1100", B"1110", B"0000",
451
 B"1011", B"1011", B"0000", B"0001",
452
 B"0011", B"1101", B"0011", B"1111",
453
 B"1000", B"1101", B"1001", B"1110",
454
 B"1100", B"0100", B"0111", B"0010",
455
 B"0010", B"1001", B"0111", B"1101",
456
 B"0101", B"0100", B"0010", B"1000",
457
 B"0000", B"1001", B"1011", B"0110",
458
 B"1110", B"0100", B"0011", B"1100",
459
 B"0001", B"0111", B"0000", B"1001",
460
 B"1101", B"0000", B"1111", B"0011",
461
 B"1000", B"1011", B"0001", B"0101",
462
 B"0001", B"1110", B"1100", B"0101",
463
 B"1110", B"0110", B"0011", B"0100",
464
 B"0101", B"1111", B"0110", B"0101",
465
 B"0011", B"1011", B"0100", B"1110",
466
 B"0110", B"1100", B"1010", B"0111",
467
 B"1111", B"1100", B"0011", B"1011",
468
 B"1101", B"1101", B"1011", B"1011",
469
 B"1100", B"0101", B"0001", B"1000",
470
 B"1101", B"1100", B"1100", B"0001",
471
 B"1101", B"1110", B"0101", B"0110",
472
 B"0010", B"1000", B"1100", B"1101",
473
 B"0101", B"1001", B"0110", B"1000",
474
 B"1011", B"0100", B"0001", B"0100",
475
 B"1111", B"1110", B"1000", B"1000",
476
 B"0000", B"0001", B"1100", B"1100",
477
 B"0101", B"1001", B"1001", B"1101",
478
 B"0000", B"0010", B"1001", B"0001",
479
 B"1001", B"0111", B"1111", B"1101",
480
 B"0001", B"0010", B"0011", B"0010",
481
 B"0001", B"0110", B"1111", B"0010",
482
 B"0001", B"1000", B"1011", B"1010",
483
 B"0100", B"1001", B"0100", B"1110",
484
 B"1010", B"1000", B"1100", B"0010",
485
 B"1100", B"0101", B"1100", B"1111",
486
 B"0100", B"1011", B"0000", B"0101",
487
 B"0111", B"0001", B"0110", B"0101",
488
 B"0110", B"1011", B"1111", B"1101",
489
 B"0111", B"1010", B"0110", B"0011",
490
 B"0000", B"0001", B"0101", B"1001",
491
 B"1001", B"1001", B"0001", B"1100",
492
 B"1010", B"0110", B"0011", B"1111",
493
 B"0010", B"1001", B"1110", B"1111",
494
 B"0000", B"1011", B"0110", B"1001",
495
 B"1101", B"0011", B"0010", B"1100",
496
 B"1101", B"1000", B"0001", B"0011",
497
 B"0101", B"0110", B"1001", B"0111",
498
 B"1010", B"0010", B"1000", B"0100",
499
 B"1111", B"0010", B"0000", B"0011",
500
 B"1010", B"1010", B"1101", B"0111",
501
 B"1011", B"0011", B"0100", B"1111",
502
 B"1110", B"0111", B"0111", B"0010",
503
 B"0011", B"0111", B"1100", B"0010",
504
 B"0101", B"1010", B"0110", B"1111",
505
 B"1101", B"0101", B"1100", B"1011",
506
 B"1011", B"1011", B"1110", B"0100",
507
 B"0111", B"0010", B"1100", B"1101",
508
 B"1000", B"0000", B"0110", B"1011",
509
 B"0011", B"0001", B"1111", B"0010",
510
 B"1101", B"0001", B"0101", B"0111",
511
 B"1000", B"1010", B"0000", B"1110",
512
 B"1111", B"1111", B"1111", B"1011",
513
 B"1110", B"1011", B"1111", B"0001",
514
 B"1001", B"0101", B"0011", B"0001",
515
 B"0110", B"1001", B"0010", B"0111",
516
 B"1111", B"1101", B"1110", B"0110",
517
 B"1010", B"1110", B"1100", B"1100",
518
 B"1110", B"1111", B"0001", B"1010",
519
 B"0111", B"0111", B"1011", B"1000",
520
 B"1011", B"0000", B"0011", B"0100",
521
 B"1001", B"0110", B"0010", B"1000",
522
 B"0001", B"1101", B"1101", B"1010",
523
 B"0001", B"1100", B"0000", B"1101",
524
 B"0010", B"1111", B"1001", B"1000",
525
 B"0100", B"1111", B"0111", B"1011",
526
 B"0110", B"0000", B"0001", B"0010",
527
 B"1101", B"0110", B"0110", B"0101",
528
 B"1111", B"0011", B"1110", B"0101",
529
 B"1010", B"1100", B"0101", B"1100",
530
 B"0110", B"0010", B"0001", B"0000",
531
 B"1101", B"1001", B"0001", B"0100",
532
 B"1110", B"1000", B"0110", B"1100",
533
 B"1000", B"1100", B"0111", B"1001",
534
 B"1001", B"0110", B"1010", B"0001",
535
 B"0110", B"0001", B"0101", B"1000",
536
 B"0101", B"0101", B"0111", B"0000",
537
 B"0111", B"1101", B"0010", B"0001",
538
 B"0100", B"0011", B"1110", B"0100",
539
 B"1110", B"1000", B"0110", B"1001",
540
 B"1101", B"0100", B"0111", B"1000",
541
 B"1011", B"0010", B"0111", B"0010",
542
 B"1000", B"0110", B"0000", B"1000",
543
 B"0101", B"1101", B"0010", B"1100",
544
 B"1010", B"0000", B"1111", B"1001",
545
 B"0000", B"1001", B"0101", B"0111",
546
 B"1000", B"1100", B"0010", B"0001",
547
 B"0010", B"1011", B"1000", B"0010",
548
 B"0000", B"0100", B"0010", B"0001",
549
 B"0100", B"1011", B"0001", B"1100",
550
 B"1100", B"0110", B"1001", B"0100",
551
 B"0101", B"1110", B"1010", B"0001",
552
 B"0110", B"0100", B"1110", B"0111",
553
 B"1111", B"1110", B"0001", B"1100",
554
 B"0101", B"0000", B"1100", B"0011",
555
 B"1001", B"0101", B"0101", B"1100",
556
 B"0000", B"0100", B"1011", B"1011",
557
 B"0001", B"1011", B"1001", B"1111",
558
 B"0100", B"1001", B"0011", B"0000",
559
 B"1010", B"1000", B"0110", B"1000",
560
 B"0000", B"1101", B"0100", B"1100",
561
 B"1110", B"0001", B"0111", B"0001",
562
 B"0101", B"0001", B"0101", B"1000",
563
 B"1111", B"1000", B"0111", B"1100",
564
 B"0111", B"1010", B"0011", B"0010",
565
 B"1101", B"0011", B"1011", B"0100",
566
 B"1111", B"1101", B"1100", B"1000",
567
 B"0100", B"1001", B"0011", B"1110",
568
 B"0101", B"0101", B"1100", B"1111",
569
 B"1100", B"1100", B"0001", B"1110",
570
 B"1000", B"0101", B"1111", B"0101",
571
 B"1011", B"1101", B"1001", B"1011",
572
 B"1111", B"0001", B"0101", B"0001",
573
 B"1000", B"1100", B"0110", B"1010",
574
 B"1000", B"0011", B"1111", B"0011",
575
 B"1100", B"1101", B"1101", B"1011",
576
 B"0000", B"0011", B"1000", B"0001",
577
 B"0011", B"1010", B"1000", B"0000",
578
 B"0000", B"0111", B"1101", B"1100",
579
 B"1110", B"1111", B"0010", B"0100",
580
 B"1101", B"1000", B"1010", B"1011",
581
 B"1001", B"0010", B"1111", B"1011",
582
 B"0111", B"1010", B"1001", B"1110",
583
 B"1110", B"0110", B"1101", B"1001",
584
 B"0101", B"0101", B"1101", B"1001",
585
 B"0101", B"0011", B"1001", B"0101",
586
 B"0110", B"1111", B"1101", B"0100",
587
 B"1111", B"1011", B"1001", B"1001",
588
 B"0111", B"1010", B"0101", B"0010",
589
 B"1000", B"1011", B"0100", B"0111",
590
 B"0011", B"1101", B"1110", B"0001",
591
 B"0101", B"0011", B"1000", B"1101",
592
 B"0001", B"0000", B"0111", B"1010",
593
 B"1000", B"0010", B"0000", B"0011",
594
 B"0100", B"0100", B"0000", B"0111",
595
 B"1000", B"0101", B"1001", B"1111",
596
 B"1110", B"0010", B"0010", B"0000",
597
 B"1100", B"0010", B"0111", B"0000",
598
 B"1011", B"1110", B"1101", B"1110",
599
 B"0000", B"0010", B"1001", B"0011",
600
 B"1111", B"1100", B"0000", B"0101",
601
 B"1101", B"0001", B"1110", B"0001",
602
 B"1101", B"0000", B"0010", B"0110",
603
 B"0000", B"0010", B"0011", B"0100",
604
 B"1000", B"1011", B"0001", B"1101",
605
 B"1110", B"1000", B"0001", B"0011",
606
 B"0100", B"1001", B"0101", B"1001",
607
 B"0010", B"1010", B"0001", B"0000",
608
 B"1010", B"1110", B"0111", B"1101",
609
 B"1111", B"1111", B"0100", B"1111",
610
 B"1101", B"1110", B"0101", B"0111",
611
 B"0011", B"1101", B"1100", B"1011",
612
 B"1110", B"1110", B"0001", B"0101",
613
 B"1110", B"1000", B"0111", B"0010",
614
 B"0110", B"0111", B"1011", B"0100",
615
 B"1110", B"0010", B"0001", B"1110",
616
 B"0110", B"1010", B"0101", B"1000",
617
 B"0110", B"1010", B"0101", B"1100",
618
 B"0001", B"1001", B"1110", B"1000",
619
 B"1000", B"1110", B"0101", B"0000",
620
 B"1000", B"1101", B"1101", B"0101",
621
 B"0001", B"1100", B"1101", B"1110",
622
 B"0100", B"1101", B"0100", B"1011",
623
 B"0010", B"1110", B"1100", B"0110",
624
 B"1101", B"0001", B"0111", B"0001",
625
 B"0100", B"0110", B"0100", B"0110",
626
 B"1110", B"1011", B"1010", B"0100",
627
 B"1011", B"0110", B"1010", B"0010",
628
 B"1100", B"1110", B"0011", B"0010",
629
 B"1100", B"0110", B"0011", B"1110",
630
 B"1010", B"0111", B"0111", B"0010",
631
 B"1101", B"1000", B"1111", B"1010",
632
 B"1101", B"1001", B"1101", B"0110",
633
 B"1010", B"0011", B"0010", B"1001",
634
 B"0000", B"0101", B"0100", B"1010",
635
 B"1110", B"1001", B"0110", B"1011",
636
 B"1000", B"1101", B"1010", B"0010",
637
 B"1111", B"1000", B"1011", B"0110",
638
 B"1000", B"1010", B"0010", B"1100",
639
 B"0000", B"1001", B"0110", B"1111",
640
 B"1000", B"0100", B"1111", B"1101",
641
 B"1011", B"0000", B"1010", B"0001",
642
 B"0011", B"1011", B"1011", B"0010",
643
 B"0110", B"1010", B"1000", B"1110",
644
 B"0101", B"1010", B"1100", B"1010",
645
 B"1110", B"1101", B"0001", B"0101",
646
 B"0001", B"0110", B"1101", B"0111",
647
 B"1100", B"1111", B"1111", B"0011",
648
 B"0111", B"0000", B"0110", B"0100",
649
 B"1001", B"1001", B"0100", B"0010",
650
 B"1011", B"0010", B"1101", B"0000",
651
 B"1110", B"0110", B"1111", B"1101",
652
 B"0011", B"0111", B"1100", B"1110",
653
 B"1011", B"1101", B"0001", B"1101",
654
 B"0011", B"0010", B"1011", B"0011",
655
 B"0001", B"1100", B"0001", B"1011",
656
 B"0111", B"0101", B"1010", B"1010",
657
 B"0001", B"0011", B"1110", B"0000",
658
 B"1100", B"0111", B"0001", B"1000",
659
 B"0010", B"0000", B"1111", B"0010",
660
 B"1110", B"0111", B"1101", B"0011",
661
 B"1101", B"1001", B"0001", B"0111",
662
 B"1011", B"0101", B"0111", B"0010",
663
 B"0100", B"0011", B"1011", B"1110",
664
 B"1110", B"1111", B"1101", B"1110",
665
 B"1001", B"1011", B"0100", B"0011",
666
 B"1001", B"0011", B"1111", B"0100",
667
 B"1000", B"1110", B"1001", B"1111",
668
 B"1110", B"1100", B"1011", B"0111",
669
 B"1001", B"0000", B"0100", B"1010",
670
 B"0101", B"0010", B"1001", B"0110",
671
 B"0111", B"1111", B"0111", B"0110",
672
 B"1000", B"1101", B"0011", B"0111",
673
 B"1101", B"1011", B"0000", B"0000",
674
 B"1101", B"0100", B"1110", B"0110",
675
 B"1010", B"0100", B"1111", B"1010",
676
 B"1101", B"1101", B"0110", B"1100"
677
 
678
);
679
 
680
signal data_in          : bit_vector (3 downto 0);
681
signal reference_data   : bit_vector (3 downto 0);
682
signal senddata_counter : integer range 0 to 2499 := 2497;
683
 
684
begin
685
 
686
data_in        <= (y0 & y1 & y2 & y3);
687
senddata       <= senddata_tbl(senddata_counter);
688
reference_data <= senddata_tbl(senddata_counter);
689
 
690
process (start, clear)
691
begin
692
if (clear = '1') then
693
  senddata_counter <= 2497;
694
elsif (start = '0' and start'event) then
695
  if (senddata_counter < 2499) then
696
     senddata_counter <= senddata_counter + 1;
697
  else
698
     senddata_counter <= 0;
699
  end if;
700
end if;
701
end process;
702
 
703
match <= not(data_in xor reference_data);
704
 
705
end verify;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.