OpenCores
URL https://opencores.org/ocsvn/ps2_keyboard_interface/ps2_keyboard_interface/trunk
Device Usage Statistics Report

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:12.3 (WebPack) - M.70d Target Family: Spartan3
OS Platform: LIN64 Target Device: xc3s200
Project ID (random number) 6c3c310e58c04bafad1498b70c4d1fb3.3B4FE3E2530F3D173AEA023E56D4E7AC.11 Target Package: ft256
Registration ID 0_0_316 Target Speed: -5
Date Generated 2010-12-03T00:08:36 Tool Flow ISE
 
User Environment
OS Name Ubuntu OS Release Ubuntu 10.10
CPU Name Intel(R) Core(TM)2 Duo CPU T6570 @ 2.10GHz CPU Speed 2101.000 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Counters=3
  • 13-bit up counter=1
  • 5-bit up counter=1
  • 8-bit up counter=1
Decoders=1
  • 1-of-4 decoder=1
Multiplexers=1
  • 4-bit 4-to-1 multiplexer=1
ROMs=1
  • 16x8-bit ROM=1
Registers=37
  • Flip-Flops=37
MiscellaneousStatistics
  • AGG_BONDED_IO=23
  • AGG_IO=23
  • AGG_SLICE=42
  • NUM_4_INPUT_LUT=51
  • NUM_BONDED_IOB=23
  • NUM_BUFGMUX=2
  • NUM_CYMUX=19
  • NUM_LUT_RT=19
  • NUM_SHIFT=2
  • NUM_SLICEL=40
  • NUM_SLICEM=2
  • NUM_SLICE_FF=58
  • NUM_XOR=21
NetStatistics
  • NumNets_Active=115
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_CLKPIN=30
  • NumNodesOfType_Active_CNTRLPIN=15
  • NumNodesOfType_Active_DOUBLE=117
  • NumNodesOfType_Active_DUMMY=113
  • NumNodesOfType_Active_DUMMYESC=3
  • NumNodesOfType_Active_GLOBAL=12
  • NumNodesOfType_Active_HUNIHEX=9
  • NumNodesOfType_Active_INPUT=177
  • NumNodesOfType_Active_IOBOUTPUT=3
  • NumNodesOfType_Active_OMUX=96
  • NumNodesOfType_Active_OUTPUT=89
  • NumNodesOfType_Active_PREBXBY=37
  • NumNodesOfType_Active_VFULLHEX=6
  • NumNodesOfType_Active_VUNIHEX=11
  • NumNodesOfType_Gnd_DOUBLE=8
  • NumNodesOfType_Gnd_DUMMY=6
  • NumNodesOfType_Gnd_INPUT=9
  • NumNodesOfType_Gnd_OMUX=2
  • NumNodesOfType_Gnd_OUTPUT=4
  • NumNodesOfType_Gnd_PREBXBY=2
SiteStatistics
  • IOB-DIFFM=12
  • IOB-DIFFS=9
  • SLICEL-SLICEM=18
SiteSummary
  • BUFGMUX=2
  • BUFGMUX_GCLKMUX=2
  • BUFGMUX_GCLK_BUFFER=2
  • IOB=23
  • IOB_INBUF=3
  • IOB_OUTBUF=20
  • IOB_PAD=23
  • SLICEL=40
  • SLICEL_C1VDD=2
  • SLICEL_CYMUXF=10
  • SLICEL_CYMUXG=9
  • SLICEL_F=24
  • SLICEL_F5MUX=4
  • SLICEL_FFX=28
  • SLICEL_FFY=26
  • SLICEL_G=25
  • SLICEL_GNDF=8
  • SLICEL_GNDG=9
  • SLICEL_XORF=11
  • SLICEL_XORG=10
  • SLICEM=2
  • SLICEM_FFX=2
  • SLICEM_FFY=2
  • SLICEM_G=2
  • SLICEM_WSGEN=2
 
Configuration Data
BUFGMUX
  • S=[S_INV:2] [S:0]
BUFGMUX_GCLKMUX
  • DISABLE_ATTR=[LOW:2]
  • S=[S_INV:2] [S:0]
IOB
  • O1=[O1_INV:0] [O1:20]
IOB_OUTBUF
  • IN=[IN_INV:0] [IN:20]
IOB_PAD
  • DRIVEATTRBOX=[12:20]
  • IOATTRBOX=[LVCMOS25:23]
  • SLEW=[SLOW:20]
SLICEL
  • BX=[BX_INV:1] [BX:20]
  • BY=[BY:14] [BY_INV:0]
  • CE=[CE:12] [CE_INV:0]
  • CIN=[CIN_INV:0] [CIN:9]
  • CLK=[CLK:7] [CLK_INV:21]
  • SR=[SR:3] [SR_INV:0]
SLICEL_CYMUXF
  • 0=[0:10] [0_INV:0]
  • 1=[1_INV:0] [1:10]
SLICEL_CYMUXG
  • 0=[0:9] [0_INV:0]
SLICEL_F5MUX
  • S0=[S0:4] [S0_INV:0]
SLICEL_FFX
  • CE=[CE:12] [CE_INV:0]
  • CK=[CK:7] [CK_INV:21]
  • D=[D:27] [D_INV:1]
  • FFX_INIT_ATTR=[INIT0:22] [INIT1:6]
  • FFX_SR_ATTR=[SRLOW:28]
  • LATCH_OR_FF=[FF:28]
  • SR=[SR:3] [SR_INV:0]
  • SYNC_ATTR=[ASYNC:25] [SYNC:3]
SLICEL_FFY
  • CE=[CE:12] [CE_INV:0]
  • CK=[CK:6] [CK_INV:20]
  • D=[D:26] [D_INV:0]
  • FFY_INIT_ATTR=[INIT0:20] [INIT1:6]
  • FFY_SR_ATTR=[SRLOW:26]
  • LATCH_OR_FF=[FF:26]
  • SR=[SR:2] [SR_INV:0]
  • SYNC_ATTR=[ASYNC:24] [SYNC:2]
SLICEL_XORF
  • 1=[1_INV:0] [1:11]
SLICEM
  • BX=[BX_INV:0] [BX:2]
  • BY=[BY:2] [BY_INV:0]
  • CLK=[CLK:0] [CLK_INV:2]
  • SR=[SR:2] [SR_INV:0]
SLICEM_FFX
  • CK=[CK:0] [CK_INV:2]
  • D=[D:2] [D_INV:0]
  • FFX_INIT_ATTR=[INIT1:2]
  • FFX_SR_ATTR=[SRLOW:2]
  • LATCH_OR_FF=[FF:2]
  • SYNC_ATTR=[ASYNC:2]
SLICEM_FFY
  • CK=[CK:0] [CK_INV:2]
  • D=[D:2] [D_INV:0]
  • FFY_INIT_ATTR=[INIT1:2]
  • FFY_SR_ATTR=[SRLOW:2]
  • LATCH_OR_FF=[FF:2]
  • SYNC_ATTR=[ASYNC:2]
SLICEM_G
  • DI=[DI:2] [DI_INV:0]
  • G_ATTR=[SHIFT_REG:2]
  • LUT_OR_MEM=[RAM:2]
SLICEM_WSGEN
  • CK=[CK:0] [CK_INV:2]
  • SYNC_ATTR=[ASYNC:2]
  • WE=[WE_INV:0] [WE:2]
 
Pin Data
BUFGMUX
  • I0=2
  • O=2
  • S=2
BUFGMUX_GCLKMUX
  • I0=2
  • OUT=2
  • S=2
BUFGMUX_GCLK_BUFFER
  • IN=2
  • OUT=2
IOB
  • I=3
  • O1=20
  • PAD=23
IOB_INBUF
  • IN=3
  • OUT=3
IOB_OUTBUF
  • IN=20
  • OUT=20
IOB_PAD
  • PAD=23
SLICEL
  • BX=21
  • BY=14
  • CE=12
  • CIN=9
  • CLK=28
  • COUT=9
  • F1=24
  • F2=13
  • F3=11
  • F4=6
  • G1=25
  • G2=15
  • G3=11
  • G4=5
  • SR=3
  • X=11
  • XQ=28
  • Y=9
  • YQ=26
SLICEL_C1VDD
  • 1=2
SLICEL_CYMUXF
  • 0=10
  • 1=10
  • OUT=10
  • S0=10
SLICEL_CYMUXG
  • 0=9
  • 1=9
  • OUT=9
  • S0=9
SLICEL_F
  • A1=24
  • A2=13
  • A3=11
  • A4=6
  • D=24
SLICEL_F5MUX
  • F=4
  • G=4
  • OUT=4
  • S0=4
SLICEL_FFX
  • CE=12
  • CK=28
  • D=28
  • Q=28
  • SR=3
SLICEL_FFY
  • CE=12
  • CK=26
  • D=26
  • Q=26
  • SR=2
SLICEL_G
  • A1=25
  • A2=15
  • A3=11
  • A4=5
  • D=25
SLICEL_GNDF
  • 0=8
SLICEL_GNDG
  • 0=9
SLICEL_XORF
  • 0=11
  • 1=11
  • O=11
SLICEL_XORG
  • 0=10
  • 1=10
  • O=10
SLICEM
  • BX=2
  • BY=2
  • CLK=2
  • G1=2
  • G2=2
  • G3=2
  • G4=2
  • SR=2
  • XQ=2
  • YQ=2
SLICEM_FFX
  • CK=2
  • D=2
  • Q=2
SLICEM_FFY
  • CK=2
  • D=2
  • Q=2
SLICEM_G
  • A1=2
  • A2=2
  • A3=2
  • A4=2
  • D=2
  • DI=2
  • WS=2
SLICEM_WSGEN
  • CK=2
  • WE=2
  • WSG=2
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200-ft256-5 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s200-ft256-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
_impact 5 5 0 0 0 0 0
bitgen 76 76 0 0 0 0 0
edif2ngd 8 8 0 0 0 0 0
map 101 101 0 0 0 0 0
netgen 42 42 0 0 0 0 0
ngc2edif 8 8 0 0 0 0 0
ngcbuild 8 8 0 0 0 0 0
ngdbuild 110 110 0 0 0 0 0
obngc 1 1 0 0 0 0 0
par 99 94 5 0 0 0 0
reportgen 26 26 0 0 0 0 0
trce 96 96 0 0 0 0 0
xst 386 385 0 0 0 0 0
 
Help Statistics
Help files
/doc/usenglish/isehelp/ite_c_overview.htm ( 1 ) /doc/usenglish/isehelp/pn_db_design_properties.htm ( 1 )
/doc/usenglish/isehelp/pn_db_design_view_properties.htm ( 1 ) /doc/usenglish/isehelp/pn_db_nsw_select_source_type.htm ( 1 )
/doc/usenglish/isehelp/sse_p_drawing_arc.htm ( 1 )
 
Project Statistics
PROP_Enable_Message_Filtering=false PROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=Balanced PROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=false PROP_PropSpecInProjFile=Store all values
PROP_Simulator=ISim (VHDL/Verilog) PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=HDL PROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text Editor PROP_intProjectCreationTimestamp=2010-12-02T22:18:44
PROP_intWbtProjectID=3B4FE3E2530F3D173AEA023E56D4E7AC PROP_intWbtProjectIteration=11
PROP_intWorkingDirLocWRTProjDir=Same PROP_intWorkingDirUsed=No
PROP_lockPinsUcfFile=changed PROP_AutoTop=true
PROP_DevFamily=Spartan3 PROP_DevDevice=xc3s200
PROP_DevFamilyPMName=spartan3 PROP_DevPackage=ft256
PROP_Synthesis_Tool=XST (VHDL/Verilog) PROP_DevSpeed=-5
PROP_PreferredLanguage=VHDL FILE_UCF=1
FILE_VHDL=2
 
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFGP=2 NGDBUILD_NUM_FD=13 NGDBUILD_NUM_FDE=8 NGDBUILD_NUM_FDE_1=16
NGDBUILD_NUM_FDR=5 NGDBUILD_NUM_FD_1=16 NGDBUILD_NUM_GND=1 NGDBUILD_NUM_IBUF=1
NGDBUILD_NUM_INV=4 NGDBUILD_NUM_LUT1=19 NGDBUILD_NUM_LUT2=5 NGDBUILD_NUM_LUT2_D=1
NGDBUILD_NUM_LUT3=10 NGDBUILD_NUM_LUT3_L=1 NGDBUILD_NUM_LUT4=11 NGDBUILD_NUM_MUXCY=19
NGDBUILD_NUM_MUXF5=4 NGDBUILD_NUM_OBUF=20 NGDBUILD_NUM_SRL16_1=2 NGDBUILD_NUM_VCC=1
NGDBUILD_NUM_XORCY=21
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=2 NGDBUILD_NUM_FD=13 NGDBUILD_NUM_FDE=8 NGDBUILD_NUM_FDE_1=16
NGDBUILD_NUM_FDR=5 NGDBUILD_NUM_FD_1=16 NGDBUILD_NUM_GND=1 NGDBUILD_NUM_IBUF=1
NGDBUILD_NUM_IBUFG=2 NGDBUILD_NUM_INV=4 NGDBUILD_NUM_LUT1=19 NGDBUILD_NUM_LUT2=5
NGDBUILD_NUM_LUT2_D=1 NGDBUILD_NUM_LUT3=10 NGDBUILD_NUM_LUT3_L=1 NGDBUILD_NUM_LUT4=11
NGDBUILD_NUM_MUXCY=19 NGDBUILD_NUM_MUXF5=4 NGDBUILD_NUM_OBUF=20 NGDBUILD_NUM_SRLC16E=2
NGDBUILD_NUM_VCC=1 NGDBUILD_NUM_XORCY=21
 

Subversion Repositories ps2_keyboard_interface

[/] [ps2_keyboard_interface/] [usage_statistics_webtalk.html] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.